FPGA开发者技术社区文章

  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第九章)数字钟实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1913
    浏览
  • 盘古200K开发板,紫光同创PG2L200H,Logos2系列,资源丰富,功能强大

    FPGA,即现场可编程门阵列,作为可重构电路芯片,已经成为行业“万能芯片”,在通信系统、数字信息处理、视频图像处理、高速接口设计等方面都有不俗的表现。近几年,随着国家战略支持和产业发展,国产FPGA迎来迅猛 ...

    0
    评论
    1821
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第八章)密码锁实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    2206
    浏览
  • FPGA 实验一:流水灯模块

    实验一:流水灯模块 对于发展商而言,动土仪式无疑是最重要的任务。为此,流水灯实验作为低级建模II的动土仪式再适合不过了。废话少说,我们还是开始实验吧。 图1.1 实验一建模图。 如图1.1 所示,实验一有名为 led ...

    0
    评论
    1467
    浏览
  • 一个更适合工程师和研究僧的FPGA提升课程 attach_img

    各位编程精英er~ F学社打造的FPGA工程师培训班上线后,有不少同学后台私信询问:“能不能出个那种专门针对某个知识点的课程呢?我想针对自己的薄弱点深入学习。” 贴心如我,当然会满足大家的学习需求啦。本周, ...

    0
    评论
    1640
    浏览
  • 基于FPGA的SPI Flash控制器的设计方案

    摘要:传统的Flash读写是通过CPU软件编程实现,其读写速度较慢,且占用CPU资源,另外由于Flash芯片本身功能指令较多,使得对芯片进行直接操作变得非常困难。 本文提出一个基于FPGA的SPI Flash读写硬件实现方案,该方 ...

    0
    评论
    2007
    浏览
  • PDS软件安装

    由于紫光同创官网申请licence,需要好几天的审核,现在都还没下来,于是在openedv网站上下载了软件及licence 先来看看怎么安装这个软件吧! 在安装包解压后单击setup程序进行安装 点击next ,agree 选择安装目录可 ...

    0
    评论
    1433
    浏览
  • 浅谈Pango_Design_Suite工具的安装

    联系了小眼睛FPGA官方客服,获取了基于PGX-Mini 4K开发板的SDK包,这里有包含了两个版本的Pango_Design_Suite安装文件,笔者推荐使用“PDS_2022.2-SP1-Lite”版本的,因为该版本可无需申请License,适用于初学入门级 ...

    0
    评论
    2274
    浏览
  • 盘古1K开箱

    上个月参加签到活动得了一块PGC1KG,今天来发个开箱贴,废话不多说,来个首秀,先上图 之前还以为是FPGA,后上官网了解到才是CPLD。参数如下: ◆系列:紫光同创compa ◆芯片:PGC1KG-LPG100 / PGC2KG-LPG100 ◆启动 ...

    0
    评论
    1460
    浏览
  • FPGA的sata接口设计时需要注意哪些问题

    在FPGA的SATA接口设计时,需要注意以下几个方面的问题,以确保设计的稳定性和性能: 接口版本和速度 : SATA有三代标准,分别为SATA I(1.5 Gb/s)、SATA II(3.0 Gb/s)和SATA III(6.0 Gb/s)。设计时需要明确所使 ...

    0
    评论
    1631
    浏览
  • FPGA的PCIE接口应用需要注意哪些问题

    FPGA上的PCIe接口应用是一个复杂的任务,需要考虑多个方面的问题以确保系统的稳定性和性能。以下是在FPGA的PCIe接口应用中需要注意的关键问题: 硬件资源和内部架构 : FPGA的型号和尺寸决定了其逻辑单元、内存块和 ...

    0
    评论
    1580
    浏览
  • FPGA的IP软核使用技巧

    FPGA的IP软核使用技巧主要包括以下几个方面: 理解IP软核的概念和特性 : IP软核是指用硬件描述语言(如VHDL或Verilog)描述的功能块,但并不涉及具体的电路实现细节。它通常只经过功能仿真,需要经过综合以及布局布 ...

    0
    评论
    1645
    浏览
  • FPGA的高速接口应用注意事项

    FPGA的高速接口应用注意事项主要包括以下几个方面: 信号完整性与电磁兼容性(EMC) : 在设计FPGA高速接口时,必须充分考虑信号完整性和电磁兼容性。这要求合理的PCB布局、走线策略和屏蔽技术,以维持信号质量。 对 ...

    0
    评论
    1585
    浏览
  • 基于FPGA的实时边缘检测系统设计,Sobel图像边缘检测,FPGA图像处理

    摘要 :本文设计了一种 基于 FPGA 的实时边缘检测系统 ,使用OV5640 摄像头模块获取实时的视频图像数据,提取图像边缘信息并通过 VGA显示。FPGA 内部使用流水线设计和 并行运算加速算法 ,利用乒乓操作和 SDRAM 缓存 ...

    0
    评论
    2035
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第七章)序列检测器实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1769
    浏览
  • 紫光同创PGL22G开发板|盘古22K开发板,国产FPGA开发板,接口丰富,高性价比

    盘古22K开发板是基于紫光同创Logos系列PGL22G芯片设计的一款FPGA开发板,全面实现国产化方案,板载资源丰富,高容量、高带宽,外围接口丰富,不仅适用于高校教学,还可以用于实验项目、项目开发,一板多用,满足多方 ...

    0
    评论
    1822
    浏览
  • FPGA学习笔记-电源电压

    通常FPGA中会有各种资源可以使用,而每种资源都需要有电源电压支持。在单片机中,通常是使用同样的电源电压供电的,比如常见的5V、3.3V。而在FPGA中,一般需要:核心电压、I/O口电压、PLL电压。每种电压都需要通过独 ...

    0
    评论
    1453
    浏览
  • FPGA学习笔记-关于FPGA资源

    在和别人讨教FPGA的知识时,初步得到的有关FPGA的印象是:通过程序或者其它设置,把集成在芯片中的各种逻辑电路组合起来使用。就像用CMOS、TTL门电路搭建处理逻辑更复杂的电路。带着这个印象开始FPGA的学习。 在学习 ...

    0
    评论
    1425
    浏览
  • 【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第五章)序列检测器实验例程

    适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-MINI-4K开发板简介盘古 PGX-MIN ...

    0
    评论
    2243
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第六章)串口收发实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1833
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第五章)数码管动态显示实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1850
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第四章)静态数码管显示实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1694
    浏览
  • 【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第五章)​序列检测器实验例程

    适用于板卡型号: 紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K) 仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-Lite 7K开发板简介 PGX-Lite 7K ...

    0
    评论
    2131
    浏览
  • 基于树莓派5的RTL仿真体验

    《基于树莓派5的RTL仿真体验》 对于FPGA或者RTL爱好者来讲,树莓派5开发板可以运行RTL仿真,仿真工具使用iverilog,波形工具使用gtkwave。为什么是这些?因为工具免费且对硬件性能要求更少…… 1. 工具简介 iverilog ...

    0
    评论
    2500
    浏览
  • FPGA基础知识学习

    FPGA(现场可编程门阵列)的工作原理主要基于其内部的可配置逻辑模块(CLB)、输入输出模块(IOB)和内部连线(Interconnect)三个部分。 首先,FPGA是由存放在片内RAM中的程序来设置其工作状态的。这些存储单元被称 ...

    0
    评论
    1109
    浏览
  • 关于FPGA IP核

          对于深入学习使用FPGA的小伙伴们,特别是一些复杂的、大规模的设计应用,适宜的IP核对开发能起到事半功倍的作用。IP核的概念与我们sdk里库的概念相似。IP即电路功能模块,用户可以直接调 ...

    0
    评论
    1058
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第三章)键控流水灯实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    887
    浏览
  • 如何快速入门FPGA

    快速入门FPGA可以遵循以下步骤: 理解FPGA基础知识: FPGA(Field Programmable Gate Array)即现场可编程门阵列,是作为专用集成电路(ASIC)领域中的一种半定制电路。它允许用户通过编程对内部的逻辑模块和I/O模块 ...

    1
    评论
    645
    浏览
  • 盘古PGX-Mini 4K开发板一览 attach_img

            盘古PGX-Mini 4K开发板 采用紫光同创Compa系列芯片PGC4KD-6ILPG144,开发板资源丰富,可以做很多基础应用。开发板引脚扇出,也挺方便扩展使用。开发板使用Type-C接口,可供电 ...

    0
    评论
    630
    浏览
  • 【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第四章)数码管动态显示实验例程

    适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-MINI-4K开发板简介盘古 PGX-MIN ...

    0
    评论
    622
    浏览
发文章

版主

+  加入 {FPGA开发者技术社区}
链接复制成功,分享给好友