FPGA开发者技术社区文章

  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第二章)按键消抖实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1103
    浏览
  • 新手入门的简单小例子-05-重制版03基础计数模块

    基础计数模块对应的实际code如下: module CNT( input wire clk, input wire rst_n, output reg [2:0] OUT ); reg [15:0] CNT; parameter CNT_MAX = 16'd49999; always @(posedge clk or negedge rst_n)begin ...

    0
    评论
    715
    浏览
  • 新手入门的简单小例子-05-重制版02顶层文件

    对应的顶层模块实际code如下: module Clock_TOP( input wire clk, input wire rst_n, input wire KEY_A, input wire KEY_B, input wire KEY_C, output wire DS, output wire SCLK, output wire SRLK ); wire [2: ...

    0
    评论
    691
    浏览
  • 新手入门的简单小例子-05-重制版01

    准备基于FPGA使用verilog HDL设计一个数字时钟,时间24小时、60分钟、60秒钟的计数,对应完成后的block图如下: 之前的版本,实现了基础的自动计时功能,但是调时功能存在问题,所以就进行了重构; ...

    0
    评论
    684
    浏览
  • FPGA开发过程中配置全局时钟需要注意哪些问题

    在FPGA开发过程中,配置全局时钟是一个至关重要的步骤,它直接影响到整个系统的时序和性能。以下是配置全局时钟时需要注意的一些关键问题: 时钟抖动和延迟 :全局时钟资源的设计目标是实现最低的时钟抖动和延迟。抖 ...

    0
    评论
    604
    浏览
  • FPGA开发如何降低成本,比如利用免费的IP内核

    FPGA开发过程中,利用免费的IP内核可以显著提高开发效率,减少设计成本。以下是一些关于如何利用免费IP内核进行FPGA开发的建议: 选择适合的IP内核:首先,需要明确项目的需求和目标,然后选择与之匹配的IP内核。这 ...

    0
    评论
    624
    浏览
  • FPGA与ARM的本质区别

    FPGA(Field-Programmable Gate Array)与ARM在多个方面存在本质区别。 首先,从它们的定义和结构上来看,FPGA是一种现场可编程门阵列,属于可编程器件的一种。它的内部包括可配置逻辑模块、输入输出模块和内部连线 ...

    0
    评论
    579
    浏览
  • 【盘古 PGX-MINI 4K 开发板】开箱篇

    上周收到了技术社区寄过来的盘古PGX-MINI 4K 开发板,虽然平时工作没用得到FPGA产品的开发,但随着公司业务的扩展,冷不丁有朝一日有FPGA产品的开发需求,所以平时积累一些FPGA基础知识是非常有必要的。盘古PGX-MINI ...

    0
    评论
    881
    浏览
  • 盘古100K开发板

    盘古100K开发板采用核心板+扩展板的结构,核心板主要由FPGA+2颗DDR3+Flash+电源及复位构成,承担了FPGA的最小系统运行及高速数据处理和存储的功能。 FPGA选用紫光同创28nm工艺的Logos2系列:PG2L100H-6IFBG484,PG2L1 ...

    2
    评论
    499
    浏览
  • 【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第三章)键控彩灯实验例程

    适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-MINI-4K开发板简介盘古 PGX-MIN ...

    0
    评论
    636
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第一章)LED灯闪烁实验例程

    ​适用于板卡型号: 紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介 PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG32 ...

    0
    评论
    611
    浏览
  • 【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第四章)​数码管动态显示实验例程

    适用于板卡型号: 紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K) 仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-Lite 7K开发板简介 PGX-Lite 7K ...

    0
    评论
    888
    浏览
  • 【晒奖品】盘古 PGX-MINI 4K 开发板

    3月在群里成功签到满勤,今天收到奖品啦: 背面: 盘古 PGX-MINI 4K 开发板是一套基于紫光同创 compa 系列 PGC4KD-6ILPG144芯片为核心的开发套件,支持主自加载双启动功能,集成板载 jtag 调试接口,预留两组 20PI ...

    1
    评论
    708
    浏览
  • 盘古EU_22K开发板,板卡合并下载器

    盘古EU_22K开发板是一套全新的国产FPGA开发套件,采用紫光同创 40nm工艺的FPGA 作为主控芯片:Logos系列PGL22G-MBG324,板卡电源采用圣邦微(SGM61032)解决方案,HDMI接口采用宏晶微 MS7200,更大程度上实现了国产 ...

    0
    评论
    202
    浏览
  • 盘古PGX系列PGX-Nano开发板

    PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2系列 28nm 工艺的 FPGA(PG2L50H_MBG324)。集成下载器芯片,极大的便利了用户的使用。 板卡搭载一颗容量为 2MB 的 SRAM 用于数据缓存,DAC 芯 ...

    0
    评论
    202
    浏览
  • FPGA芯片你了解多少?

    集成电路芯片包括数字芯片和模拟芯片两大类,数字芯片可以分为存储器芯片和逻辑芯片,大家熟知的逻辑芯片一般包括 CPU、GPU、DSP等通用处理器芯片,以及专用集成电路芯片ASIC。今天要介绍的是一种特殊的逻辑芯片—— ...

    0
    评论
    403
    浏览
  • 拆解FPGA芯片,带你深入了解其原理

    拆解FPGA芯片,带你深入了解其原理 现场可编程门阵列(FPGA)可以实现任意数字逻辑,从微处理器到视频生成器或加密矿机,一应俱全。FPGA由许多逻辑模块组成,每个逻辑模块通常由触发器和逻辑功能以及连接逻辑模块的 ...

    0
    评论
    356
    浏览
  • PLD/FPGA基本使用问题

    PLD,CPLD,FPGA有何不同? 不同厂家的叫法不尽相同,PLD(Programmable Logic Device)是可编程逻辑器件的总称,FPGA (Field Programmable Gate Arry)是指现场可编程门阵列(SRAM 工艺,要外挂配置用的EPROM)。Xilinx把SRA ...

    0
    评论
    592
    浏览
  • 盘古 PGX-MINI 4K 开发板

    盘古 PGX-MINI 4K 开发板是一套基于紫光同创 compa 系列 PGC4KD-6ILPG144芯片为核心的开发套件,支持主自加载双启动功能,集成板载 jtag 调试接口,预留两组 20PIN 扩展 IO、数码管、按键、led 灯等硬件资源,为用户 ...

    0
    评论
    333
    浏览
  • 盘古 PGX-Lite 7K 开发板

    盘古 PGX-Lite 7K 开发板是一套基于紫光同创 compa 系列 PGC7KD-6IMBG256芯片为核心的开发套件,支持主自加载双启动功能,集成板载 jtag 调试接口,支持 Type-C 转串口通信,同时预留非常丰富的扩展 IO、数码管、按 ...

    0
    评论
    337
    浏览
  • 盘古PGX系列PGX-nano开发板

    FPGA,即现场可编程门阵列,作为可重构电路芯片,已经成为行业“万能芯片”,在通信系统、数字信息处理、视频图像处理、高速接口设计等方面都有不俗的表现。近几年,随着国家战略支持和产业发展,国产FPGA迎来迅 ...

    0
    评论
    398
    浏览
  • FPGA学习笔记-入门

    大概10年前,大学同学建议我学习DSP。当因为工作忙,也只是简单学习了DSP的一些基础知识,没有进一步深入学习和时间。结果现在,好像DSP已经不再是主流了,现在有了FPGA。 现在想想,可能没深入学习DSP,也没有多 ...

    0
    评论
    291
    浏览
  • FPGA初步学习印象

    在大学学习数字电路时,还记得有时候会用TTL或者CMOS器件,搭建一些功能复杂的电路。后来随着单片机的发展,TTL或者CMOS的器件和应用似乎已经没落了。手里还有的一些器件基本上吃灰,没什么大用了。FPGA出现后,也想 ...

    0
    评论
    222
    浏览
  • 深入理解 FPGA 的基础结构

    转载地址:https://zhuanlan.zhihu.com/p/506828648 文章很详细的介绍了FPGA的基础结构,能更直观的理解内部结构原理。对深入学习很有帮助。 以下是正文: 这一段时间对 FPGA 的内部结构产生了一定的兴趣,所以找来 ...

    1
    评论
    1904
    浏览
  • 分享FPGA的几个模块代码

    实现分频器分频代码,可以将高频率波形分频到指定的低频波形: 源程序如下: architecture Behavioral of fred is signal clk12M:std_logic_vector(23 downto 0):=x"000000"; signal clk1M:std_logic_vector(23 ...

    0
    评论
    216
    浏览
  • 基于FPGA设计频率计方案介绍分享

    1 FPGA简介 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为[专用集成电路](ASIC)领域中的一种半定制电路而出现的,既解决了 ...

    0
    评论
    178
    浏览
  • 谈谈大家学习FPGA的经历

    谈谈大家学习FPGA,一路以来的经历感受,有啥心得体会都可以畅聊分享

    0
    评论
    192
    浏览
  • # FPGA 编程如何工作?

    提图斯· 卡穆尼亚 硬件和软件之间存在着非常细的界限。在为计算机等小工具创建硬件时,必须确保它可以运行并执行代码。 现场可编程门阵列(FPGA)是一种允许用户随时随地定制电路的硬件架构形式。 如果您想从事计 ...

    0
    评论
    344
    浏览
  • FPGA 完整形式

    FPGA 代表 现场可编程门阵列 ,它是一种可以编程以针对特定应用执行定制操作的 IC。他们有数千个门。在VLSI领域FPGA已经非常流行。使用VHDL和Verilog等语言编写FPGA编程代码。 架构: 它由数千个称为可配置逻辑块 (C ...

    0
    评论
    351
    浏览
  • 读《FPGA入门教程》

    此书籍包含六大部分内容:1.数字电路设计入门2.FPGA简介3.FPGA开发流程4.RTL设计5.QuartusⅡ设计实例6..ModelSim和Testbench 首先介绍了数字电路的基本设计,其实在《数字电路原理》中,我们都比较熟悉了,这里再次 ...

    0
    评论
    179
    浏览
发文章

版主

+  加入 {FPGA开发者技术社区}
链接复制成功,分享给好友