《基于树莓派5的RTL仿真体验》 对于FPGA或者RTL爱好者来讲,树莓派5开发板可以运行RTL仿真,仿真工具使用iverilog,波形工具使用gtkwave。为什么是这些?因为工具免费且对硬件性能要求更少…… 1. 工具简介 iverilog ...
FPGA(现场可编程门阵列)的工作原理主要基于其内部的可配置逻辑模块(CLB)、输入输出模块(IOB)和内部连线(Interconnect)三个部分。 首先,FPGA是由存放在片内RAM中的程序来设置其工作状态的。这些存储单元被称 ...
对于深入学习使用FPGA的小伙伴们,特别是一些复杂的、大规模的设计应用,适宜的IP核对开发能起到事半功倍的作用。IP核的概念与我们sdk里库的概念相似。IP即电路功能模块,用户可以直接调 ...
【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第三章)键控流水灯实验例程
适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...
快速入门FPGA可以遵循以下步骤: 理解FPGA基础知识: FPGA(Field Programmable Gate Array)即现场可编程门阵列,是作为专用集成电路(ASIC)领域中的一种半定制电路。它允许用户通过编程对内部的逻辑模块和I/O模块 ...
盘古PGX-Mini 4K开发板 采用紫光同创Compa系列芯片PGC4KD-6ILPG144,开发板资源丰富,可以做很多基础应用。开发板引脚扇出,也挺方便扩展使用。开发板使用Type-C接口,可供电 ...
【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第四章)数码管动态显示实验例程
适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-MINI-4K开发板简介盘古 PGX-MIN ...
【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第二章)按键消抖实验例程
适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...
基础计数模块对应的实际code如下: module CNT( input wire clk, input wire rst_n, output reg [2:0] OUT ); reg [15:0] CNT; parameter CNT_MAX = 16'd49999; always @(posedge clk or negedge rst_n)begin ...
对应的顶层模块实际code如下: module Clock_TOP( input wire clk, input wire rst_n, input wire KEY_A, input wire KEY_B, input wire KEY_C, output wire DS, output wire SCLK, output wire SRLK ); wire [2: ...
准备基于FPGA使用verilog HDL设计一个数字时钟,时间24小时、60分钟、60秒钟的计数,对应完成后的block图如下: 之前的版本,实现了基础的自动计时功能,但是调时功能存在问题,所以就进行了重构; ...
在FPGA开发过程中,配置全局时钟是一个至关重要的步骤,它直接影响到整个系统的时序和性能。以下是配置全局时钟时需要注意的一些关键问题: 时钟抖动和延迟 :全局时钟资源的设计目标是实现最低的时钟抖动和延迟。抖 ...
FPGA开发过程中,利用免费的IP内核可以显著提高开发效率,减少设计成本。以下是一些关于如何利用免费IP内核进行FPGA开发的建议: 选择适合的IP内核:首先,需要明确项目的需求和目标,然后选择与之匹配的IP内核。这 ...
FPGA(Field-Programmable Gate Array)与ARM在多个方面存在本质区别。 首先,从它们的定义和结构上来看,FPGA是一种现场可编程门阵列,属于可编程器件的一种。它的内部包括可配置逻辑模块、输入输出模块和内部连线 ...
上周收到了技术社区寄过来的盘古PGX-MINI 4K 开发板,虽然平时工作没用得到FPGA产品的开发,但随着公司业务的扩展,冷不丁有朝一日有FPGA产品的开发需求,所以平时积累一些FPGA基础知识是非常有必要的。盘古PGX-MINI ...
盘古100K开发板采用核心板+扩展板的结构,核心板主要由FPGA+2颗DDR3+Flash+电源及复位构成,承担了FPGA的最小系统运行及高速数据处理和存储的功能。 FPGA选用紫光同创28nm工艺的Logos2系列:PG2L100H-6IFBG484,PG2L1 ...
【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第三章)键控彩灯实验例程
适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-MINI-4K开发板简介盘古 PGX-MIN ...
【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第一章)LED灯闪烁实验例程
适用于板卡型号: 紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介 PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG32 ...
【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第四章)数码管动态显示实验例程
适用于板卡型号: 紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K) 仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-Lite 7K开发板简介 PGX-Lite 7K ...
3月在群里成功签到满勤,今天收到奖品啦: 背面: 盘古 PGX-MINI 4K 开发板是一套基于紫光同创 compa 系列 PGC4KD-6ILPG144芯片为核心的开发套件,支持主自加载双启动功能,集成板载 jtag 调试接口,预留两组 20PI ...
盘古EU_22K开发板是一套全新的国产FPGA开发套件,采用紫光同创 40nm工艺的FPGA 作为主控芯片:Logos系列PGL22G-MBG324,板卡电源采用圣邦微(SGM61032)解决方案,HDMI接口采用宏晶微 MS7200,更大程度上实现了国产 ...
PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2系列 28nm 工艺的 FPGA(PG2L50H_MBG324)。集成下载器芯片,极大的便利了用户的使用。 板卡搭载一颗容量为 2MB 的 SRAM 用于数据缓存,DAC 芯 ...
集成电路芯片包括数字芯片和模拟芯片两大类,数字芯片可以分为存储器芯片和逻辑芯片,大家熟知的逻辑芯片一般包括 CPU、GPU、DSP等通用处理器芯片,以及专用集成电路芯片ASIC。今天要介绍的是一种特殊的逻辑芯片—— ...
拆解FPGA芯片,带你深入了解其原理 现场可编程门阵列(FPGA)可以实现任意数字逻辑,从微处理器到视频生成器或加密矿机,一应俱全。FPGA由许多逻辑模块组成,每个逻辑模块通常由触发器和逻辑功能以及连接逻辑模块的 ...
PLD,CPLD,FPGA有何不同? 不同厂家的叫法不尽相同,PLD(Programmable Logic Device)是可编程逻辑器件的总称,FPGA (Field Programmable Gate Arry)是指现场可编程门阵列(SRAM 工艺,要外挂配置用的EPROM)。Xilinx把SRA ...
盘古 PGX-MINI 4K 开发板是一套基于紫光同创 compa 系列 PGC4KD-6ILPG144芯片为核心的开发套件,支持主自加载双启动功能,集成板载 jtag 调试接口,预留两组 20PIN 扩展 IO、数码管、按键、led 灯等硬件资源,为用户 ...
盘古 PGX-Lite 7K 开发板是一套基于紫光同创 compa 系列 PGC7KD-6IMBG256芯片为核心的开发套件,支持主自加载双启动功能,集成板载 jtag 调试接口,支持 Type-C 转串口通信,同时预留非常丰富的扩展 IO、数码管、按 ...
FPGA,即现场可编程门阵列,作为可重构电路芯片,已经成为行业“万能芯片”,在通信系统、数字信息处理、视频图像处理、高速接口设计等方面都有不俗的表现。近几年,随着国家战略支持和产业发展,国产FPGA迎来迅 ...
大概10年前,大学同学建议我学习DSP。当因为工作忙,也只是简单学习了DSP的一些基础知识,没有进一步深入学习和时间。结果现在,好像DSP已经不再是主流了,现在有了FPGA。 现在想想,可能没深入学习DSP,也没有多 ...
在大学学习数字电路时,还记得有时候会用TTL或者CMOS器件,搭建一些功能复杂的电路。后来随着单片机的发展,TTL或者CMOS的器件和应用似乎已经没落了。手里还有的一些器件基本上吃灰,没什么大用了。FPGA出现后,也想 ...