FPGA开发者技术社区文章

  • 【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第三章)​键控彩灯实验例程

    适用于板卡型号: 紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K) 仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-Lite 7K开发板简介 PGX-Lite 7K ...

    0
    评论
    211
    浏览
  • Xilinx FPGA高性能NVMe SSD主机控制器,NVMe Host Controller IP attach_img

    NVMe Host Controller IP1     介绍NVMe Host Controller IP可以连接高速存储PCIe SSD,无需CPU和外部存储器,自动加速处理所有的NVMe协议命令,具备独立的数据写入AXI4-Stream/FIFO接口和数据 ...

    0
    评论
    309
    浏览
  • 【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第二章)LED 流水灯实验例程

    适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-MINI-4K开发板简介盘古 PGX-MI ...

    0
    评论
    614
    浏览
  • 新手入门的简单小例子-05-03

    基于FPGA使用verilog HDL设计一个数字时钟,时间24小时、60分钟、60秒钟的计数;首先默认我们的板载有源晶振为50MHz的时钟,然后我们已经设计了一个秒钟的计数模块,现在设计一个时钟的技术模块,基于秒钟和分钟计数 ...

    0
    评论
    326
    浏览
  • 新手入门的简单小例子-05-02

    基于FPGA使用verilog HDL设计一个数字时钟,时间24小时、60分钟、60秒钟的计数;首先默认我们的板载有源晶振为50MHz的时钟,然后我们已经设计了一个秒钟的计数模块,现在设计一个分钟的技术模块,基于秒钟计数满的fl ...

    0
    评论
    291
    浏览
  • 新手入门的简单小例子-05-01

    准备基于FPGA使用verilog HDL设计一个数字时钟,时间24小时、60分钟、60秒钟的计数;首先默认我们的板载有源晶振为50MHz的时钟,然后我们先设计一个秒钟的计数模块: module sec_clock( input wire clk, input wire ...

    0
    评论
    632
    浏览
  • 8b10b编码verilog实现

    8b/10b编码是一种用于减少数据线上的低效能时钟信号传输的技术,通过在数据流中插入特殊的控制字符,来同步数据和时钟。在Verilog中实现8b/10b编码器可以通过以下步骤完成: 定义8b/10b编码所需的特殊字符和状态机。 ...

    0
    评论
    296
    浏览
  • verilog实现卷积运算

    在Verilog中实现卷积运算,你可以使用以下示例代码。这里假设输入数据是有符号8位数,输出数据也是有符号8位数。卷积在数字信号处理中通常指的是两个序列的逐元素乘积的和,也就是点乘。 module conv_module( input ...

    0
    评论
    432
    浏览
  • Verilog 电子时钟实现

    在Verilog中实现一个简单的电子时钟,你可以使用一个计数器来周期性地递增一个计数值,然后根据这个计数值来显示时钟的不同时刻。以下是一个简单的例子: module clock_display( input clk, input rst, output [5:0] ...

    0
    评论
    259
    浏览
  • 基于多速率DA的根升余弦滤波器的FPGA实现

    引 言 根升余弦成形滤波器是数字信号处理中的重要部件,它能对数字信号进行成形滤波,压缩旁瓣,减少干扰的影响,从而降低误码率。根据文献[1],它的传统FP-GA实现方式基于乘累加器(Multiplier Add Cell,MAC)结构, ...

    0
    评论
    656
    浏览
  • Vitis2023.2使用之—— updata to Vitis Unified IDE

    上一章聊了一下vitis2023.2怎样使用classic Vitis IDE,这章我们来说一说基于classic Vitis IDE的工程怎么样更新到新版本的Vitis Unified IDE的工程。 首先在更新之前需要将旧版工程更新到vitis2023.2的classic Viti ...

    0
    评论
    404
    浏览
  • Vitis2023.2使用之—— classic Vitis IDE

    Vitis 已经更新到2023.2了,新版本相较于旧版本更新了嵌入式平台,新版平台增加了Versal™ AI 引擎 DSP 设计的增强功能,全新的独立 Vitis 嵌入式软件,最新 Vitis 统一集成型设计环境,GUI界面非常像vscode,标志着A ...

    0
    评论
    1079
    浏览
  • 【紫光同创盘古PGX-MINI-4K教程】——(盘古PGX-MINI-4K开发板/PGC4KD-6ILPG144第一章)控制 LED 灯实验例程 attach_img

    Step4:选择 RTL project,单击 Next​适用于板卡型号:紫光同创PGC4KD-6ILPG144开发平台(盘古PGX-MINI-4K)仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一: ...

    0
    评论
    765
    浏览
  • 【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第二章)​LED 流水灯实验例程

    适用于板卡型号: 紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K) 仅需一根TypcC线,插上即用,轻松操作。兼容下载器的一体版,配套资料丰富, 快速掌握国产FPGA! 一:盘古PGX-Lite 7K开发板简介 PGX-Lite 7K ...

    0
    评论
    494
    浏览
  • 【紫光同创盘古PGX-Lite 7K教程】——(盘古PGX-Lite 7K开发板/PGC7KD-6IMBG256第一章)​控制 LED 灯实验例程 attach_img

      那在数字电路中的时钟信号也是有固定的节奏的,这种节奏的开始到结束的时间,我们通常称之为周期(T)。适用于板卡型号: 紫光同创PGC7KD-6IMBG256开发平台(盘古PGX-Lite 7K) 仅需一根TypcC线,插上即 ...

    0
    评论
    481
    浏览
  • 新手入门的简单小例子-04-2 建模实现

    如下图: 图1 对应的时钟与BPS的关系以及发送的逻辑 图2 对应的数据与BPS的对应关系 图3 要求的循环发送以及时间 对应的具体代码段如下: module UART_transmit( input wire clk, input wire rst_n, input wire [ ...

    0
    评论
    3939
    浏览
  • FPGA与MCU的区别

    FPGA和单片机 (MCU)的区别 结构上的区别 单片机(MCU)是一种微处理器,类似于电脑CPU的,它一般采用的是哈佛总线结构,或者冯诺依曼结构,对单片机的编程很大程度上要考虑到它的结构和各个寄存器的作用,单片机用途比 ...

    0
    评论
    354
    浏览
  • 高速ADDA模块开箱,FPGA专用,高速信号输出,数模信号转换 视频

    高速ADDA模块开箱,FPGA专用,高速信号输出,数模信号转换,8Bit高速低功耗DA转换,DA速率高达125MSPS,10BitAD转换,AD速率35MSPS,模块含SPI串口屏幕显示、PMOD扩展口,同时支持高速ADDA转换,可搭配盘古22K、盘古50 ...

    0
    评论
    263
    浏览
  • 高速ADDA模块环路波形展示,FPGA专用 视频

    高速ADDA模块环路波形展示,FPGA专用,高速信号输出,数模信号转换,8Bit高速低功耗DA转换,DA速率高达125MSPS,10BitAD转换,AD速率35MSPS,模块含SPI串口屏幕显示、PMOD扩展口......功能丰富,高性价比 ...

    0
    评论
    182
    浏览
  • 高速ADDA模块正弦波波形展示,FPGA专用 视频

    高速ADDA模块正弦波波形展示,FPGA专用,高速信号输出,数模信号转换,8Bit高速低功耗DA转换,DA速率高达125MSPS,10BitAD转换,AD速率35MSPS,模块含SPI串口屏幕显示、PMOD扩展口......功能丰富,高性价比 ...

    0
    评论
    197
    浏览
  • 新手入门的简单小例子-04-1 思路分析

    串口作为常用的三大低速总线(UART、 SPI、 IIC)之一,在设计众多通信接口和调试时占有重要地位。但UART 和 SPI、 IIC 不同的是,它是异步通信接口,异步通信中的接收方并不知道数据什么时候会到达,所以双方收发端 ...

    0
    评论
    584
    浏览
  • 【小眼睛科技紫光盘古50K开发板试用体验】之一:开箱硬件赏析 attach_img

        小眼睛FPGA(深圳市小眼睛科技有限公司),是一家以FPGA为核心的设计公司。团队创始人及创始骨干在FPGA领域拥有超过10年的深厚行业经验和技术积累。擅长各种基于FPGA的工业应用、无线通信应用,曾主导 ...

    2
    评论
    1817
    浏览
  • 不需要License不需要烧录器,板卡集成下载器和调试功能,盘古PGX-Lite 7K开发板 视频

    不需要License不需要烧录器,板卡集成下载器和调试功能,盘古PGX-Lite 7K开发板,紫光同创Compa系类低功耗CPLD,基于PGC7KD-6IMBG256,轻松掌握国产FPGA操作

    0
    评论
    3834
    浏览
  • Xilinx FPGA 1/4/8通道PCIe-DMA控制器IP,高性能应用介绍 attach_img

    Multi-ChannelPCIe QDMA&RDMA IP1    介绍基于PCI Express Integrated Block,Multi-Channel PCIe QDMA Subsystem实现了使用DMA地址队列的独立多通道、高性能Continous或Scather Gather DMA,提供FIFO ...

    0
    评论
    4153
    浏览
  • 种草一块国产FPGA开发板,不用烧录器,一根TypeC线即插即用,盘古PGX-MINI 4K开发板

    分享一块国产入门级FPGA开发板,不用烧录器,盘古PGX-MINI 4K开发板,一根TypeC线即插即用,一键式便携操作,非常适用于初学者!!!而且配套的资料和实验例程很丰富,对于想尝试国产FPGA平台的友友们,墙裂推荐~~ ...

    1
    评论
    4155
    浏览
  • 打卡可以领开发板啦

    最近电子发烧友论坛FPGA版块开展签到就可以领开发板的话动了,最好可以领下面这块开发板啦: 各位想要入手FPGA的小伙伴快来参与活动!

    0
    评论
    4153
    浏览
  • 紫光同创新品开发板,盘古PGX系列PGX-MINI 4K开发板,板卡集成下载器 视频

    FPGA,即现场可编程门阵列,作为可重构电路芯片,已经成为行业“万能芯片”,在通信系统、数字信息处理、视频图像处理、高速接口设计等方面都有不俗的表现。近几年,随着国家战略支持和产业发展,国产FPGA迎来迅猛 ...

    0
    评论
    4204
    浏览
  • 【基于Lattice MXO2的小脚丫FPGA核心板】03数码管显示控制

    数码管可以用于显示数字信息,一个七段数码管分别由a、b、c、d、e、f、g控制位和表示小数点的dp位段组成。实际是由8个LED灯组成的,控制每个LED的点亮或熄灭实现数字显示。通常数码管分别为共阳极数码管和共阴极数码 ...

    0
    评论
    4405
    浏览
  • 小白学习FPGA的四大误区

    1、不熟悉        FPGA的内部结构,不了解可编程逻辑器件的基本原理。FPGA为什么是可以编程的?恐怕很多菜鸟不知道,他们也不想知道。因为他们觉得这是无关紧要的。他们潜意识的认为可编程嘛, ...

    0
    评论
    4634
    浏览
  • 初识FPGA需要关注的注意事项!

    1.基础问题        FPGA的基础就是数字电路和HDL语言,想学好FPGA的人,建议床头都有一本数字电路的书,不管是哪个版本的,这个是基础,多了解也有助于形成硬件设计的思想。在语言方面,建议初 ...

    0
    评论
    4474
    浏览
发文章

版主

+  加入 {FPGA开发者技术社区}
链接复制成功,分享给好友