FPGA开发者技术社区文章

  • 打卡有好礼!FPGA开发者技术社区每日打卡活动来啦!!

    FPGA技术社区开始运营啦~ 在这里,将有FPGA生态最新进展及成功案例,发布FPGA技术及应用的最新成果,探讨FPGA生态发展新方向,更有FPGA相关赛事最新资讯及动态~ 立即加入FPGA技术社区,大步迈向FPGA新发展新力量! F ...

    4184
    评论
    41809
    浏览
  • 精选推荐!紫光盘古系列FPGA开发板信息汇总

    紫光盘古系列:盘古50K开发板 盘古50K开发板(MES50HP)采用了核心板+扩展板的结构,核心板与扩展板之间使用高速板对板连接器进行连接。 核心板主要由FPGA+2颗DDR3 + Flash+电源+复位构成,承担了FPGA的最小系统运行 ...

    1
    评论
    2791
    浏览
  • 紫光MES1/2KG 开发板——硬件使用说明

    一、开发板简介 MES1/2KG 开发板是一套基于紫光 FPGA 的开发套件,以紫光 Compact 系列PGC1KG-LPG100 / PGC2KG-LPG100 器件为核心,预留丰富的扩展 IO 及数码管、按键、LED 灯,为用户提供基本的硬件环境。 本开发系 ...

    5
    评论
    1929
    浏览
  • 参与FPGA开发者技术社区每周一练,精美开发板免费领! digest

    活动内容: 1、实验题,约5道题,用户根据1K开发板的5个实验,每个实验写一篇关于实验过程和学习收获的帖子 2、将已发布的帖子回复在本贴评论区,格式:标题+链接 发帖要求 : 【每周一练】+盘古1K开发板+“作者自 ...

    24
    评论
    28830
    浏览
  • 小眼睛科技紫光盘古50K开发板优秀评测报告精选

    1、 【小眼睛科技紫光盘古50K开发板试用体验】之一:开箱硬件赏析 小眼睛(深圳市小眼睛科技有限公司),是一家以FPGA为核心的设计公司。团队创始人及创始骨干在FPGA领域拥有超过10年的深厚行业经验和技术积累。擅长 ...

    6
    评论
    5458
    浏览
  • 浅谈如何克服FPGA I/O引脚分配挑战 New

    前言 对于需要在PCB板上使用大规模FPGA器件的设计人员来说,I/O 引脚分配是必须面对的众多挑战之一。由于众多原因,许多设计人员发表为大型FPGA 器件和高级BGA封装确定I/O引脚配置或布局方案越来越困难。但是组合运 ...

    0
    评论
    512
    浏览
  • Xilinx 7系列FPGA PCB设计指导

    引言: 从本文开始,我们陆续介绍下有关7系列FPGA通用PCB设计指导,重点介绍在PCB和接口级别做出设计决策的策略。由于FPGA本身也属于数字集成电路,文章中的大部分设计策略及概念也可为其他数字IC电路设计提供参考。 ...

    0
    评论
    387
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第十一章)模拟波形实验例程说明

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    605
    浏览
  • verilog求倒数-ROM实现方法

    采用线性逼近法结合32段线性查找表的方式来实现1/z的计算。 首先将1/32-1/64的定点化数据存放到ROM中,ROM中存放的是扩大了2^20 次方的数字四舍五入后的整数部分。n值越大,精度越大,误差越小。这里取n=20; ROM中 ...

    0
    评论
    684
    浏览
  • 一文简述FPGA的综合和约束的关系

    综合编译器将实现细节添加到抽象RTL模型,综合编译器: 将RTL功能转换为等效功能的通用逻辑门。 将通用门映射到特定的ASIC或FPGA目标。 执行逻辑优化以满足时钟速度要求。 执行逻辑优化以满足面积和功率要求, 执行 ...

    0
    评论
    992
    浏览
  • FPGA DDR4读写实验(1)

    DDR4 SDRAM (Double-Data-Rate Fourth Generation Synchronous Dynamic Random Access Memory,简称为 DDR4 SDRAM),是一种高速 动态随机存取存储器 ,它属于 SDRAM 家族的存储器产品,提供了相较于 DDR3 SDRAM 更 ...

    0
    评论
    1182
    浏览
  • 盘古1k flash 启动

    PGC1KG-LPG100为CPLD,上次我使用在线烧写bit的方式跑了第一个点灯程序,今天我们来生成一个可flash启动的bit,让程序可以flash的方式启动。 还是之前的点灯程序 generate bitstream 右键 设置 ok后重新生成bit,下载 ...

    0
    评论
    469
    浏览
  • 盘古1K: 程序运行成功,点灯实现

    网上买了个下载器今天总算能下载程序试试了,首先打开软件新建工程。 选择对应的芯片型号。 新建完成。 新建工程文件。 编辑一个简单的点灯程序LED_test.v。 将.v文件添加到工程中。 如果代码中有错会在console中报 ...

    0
    评论
    1019
    浏览
  • FPGA实现SDIO访问需要注意的问题

    FPGA实现SDIO访问时,需要注意以下几个关键问题和细节: 初始化过程: SDIO总线的初始化是确保FPGA与SD卡能够正常通信的第一步。这包括设置时钟频率、配置数据传输模式以及校验协议等。 初始化过程中,需要发送特定 ...

    0
    评论
    746
    浏览
  • FPGA的SRIO接口使用应注意的事项

    FPGA的SRIO(Serial RapidIO)接口使用时,需要注意以下几个方面以确保数据交换和通信的顺利进行: 接口连接与配置 : 确保FPGA和与其通信的设备(如DSP)上都配备了SRIO接口,并使用正确的连接线将它们连接起来。 ...

    0
    评论
    714
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第十章)SRAM 读写实验例程说明

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    569
    浏览
  • FPGA学习笔记---基本语法

    Verilog语法是指硬件能够实现的语法。它的子集很小。常用的RTL语法结构如下: 1、模块声明:module ... end module 2、端口声明:input, output, inout 3、信号类型:wire, reg, tri等 4、参数定义:parameter 5、运 ...

    0
    评论
    516
    浏览
  • FPGA的学习笔记---FPGA的开发流程

    与通常的单片机应用开发不同,FPGA有自己的开发流程。但具体上怎样操作,作为初学者,没有一点经验。网站奖励的清华FPGA需要的开发软件,到目前还没有安装成功。暂且先看看相关学习,慢慢积累这方面的知识吧。 1、设 ...

    0
    评论
    716
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第九章)数字钟实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    912
    浏览
  • 盘古200K开发板,紫光同创PG2L200H,Logos2系列,资源丰富,功能强大

    FPGA,即现场可编程门阵列,作为可重构电路芯片,已经成为行业“万能芯片”,在通信系统、数字信息处理、视频图像处理、高速接口设计等方面都有不俗的表现。近几年,随着国家战略支持和产业发展,国产FPGA迎来迅猛 ...

    0
    评论
    829
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第八章)密码锁实验例程

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1231
    浏览
  • FPGA 实验一:流水灯模块

    实验一:流水灯模块 对于发展商而言,动土仪式无疑是最重要的任务。为此,流水灯实验作为低级建模II的动土仪式再适合不过了。废话少说,我们还是开始实验吧。 图1.1 实验一建模图。 如图1.1 所示,实验一有名为 led ...

    0
    评论
    501
    浏览
  • 一个更适合工程师和研究僧的FPGA提升课程 attach_img

    各位编程精英er~ F学社打造的FPGA工程师培训班上线后,有不少同学后台私信询问:“能不能出个那种专门针对某个知识点的课程呢?我想针对自己的薄弱点深入学习。” 贴心如我,当然会满足大家的学习需求啦。本周, ...

    0
    评论
    672
    浏览
  • 基于FPGA的SPI Flash控制器的设计方案

    摘要:传统的Flash读写是通过CPU软件编程实现,其读写速度较慢,且占用CPU资源,另外由于Flash芯片本身功能指令较多,使得对芯片进行直接操作变得非常困难。 本文提出一个基于FPGA的SPI Flash读写硬件实现方案,该方 ...

    0
    评论
    1013
    浏览
  • PDS软件安装

    由于紫光同创官网申请licence,需要好几天的审核,现在都还没下来,于是在openedv网站上下载了软件及licence 先来看看怎么安装这个软件吧! 在安装包解压后单击setup程序进行安装 点击next ,agree 选择安装目录可 ...

    0
    评论
    464
    浏览
  • 浅谈Pango_Design_Suite工具的安装

    联系了小眼睛FPGA官方客服,获取了基于PGX-Mini 4K开发板的SDK包,这里有包含了两个版本的Pango_Design_Suite安装文件,笔者推荐使用“PDS_2022.2-SP1-Lite”版本的,因为该版本可无需申请License,适用于初学入门级 ...

    0
    评论
    1219
    浏览
  • 盘古1K开箱

    上个月参加签到活动得了一块PGC1KG,今天来发个开箱贴,废话不多说,来个首秀,先上图 之前还以为是FPGA,后上官网了解到才是CPLD。参数如下: ◆系列:紫光同创compa ◆芯片:PGC1KG-LPG100 / PGC2KG-LPG100 ◆启动 ...

    0
    评论
    487
    浏览
  • FPGA的sata接口设计时需要注意哪些问题

    在FPGA的SATA接口设计时,需要注意以下几个方面的问题,以确保设计的稳定性和性能: 接口版本和速度 : SATA有三代标准,分别为SATA I(1.5 Gb/s)、SATA II(3.0 Gb/s)和SATA III(6.0 Gb/s)。设计时需要明确所使 ...

    0
    评论
    656
    浏览
  • FPGA的PCIE接口应用需要注意哪些问题

    FPGA上的PCIe接口应用是一个复杂的任务,需要考虑多个方面的问题以确保系统的稳定性和性能。以下是在FPGA的PCIe接口应用中需要注意的关键问题: 硬件资源和内部架构 : FPGA的型号和尺寸决定了其逻辑单元、内存块和 ...

    0
    评论
    613
    浏览
  • FPGA的IP软核使用技巧

    FPGA的IP软核使用技巧主要包括以下几个方面: 理解IP软核的概念和特性 : IP软核是指用硬件描述语言(如VHDL或Verilog)描述的功能块,但并不涉及具体的电路实现细节。它通常只经过功能仿真,需要经过综合以及布局布 ...

    0
    评论
    639
    浏览
发文章

版主

+  加入 {FPGA开发者技术社区}
链接复制成功,分享给好友