FPGA开发者技术社区文章

  • 打卡有好礼!FPGA开发者技术社区每日打卡活动来啦!!

    FPGA技术社区开始运营啦~ 在这里,将有FPGA生态最新进展及成功案例,发布FPGA技术及应用的最新成果,探讨FPGA生态发展新方向,更有FPGA相关赛事最新资讯及动态~ 立即加入FPGA技术社区,大步迈向FPGA新发展新力量! F ...

    4561
    评论
    52307
    浏览
  • 精选推荐!紫光盘古系列FPGA开发板信息汇总

    紫光盘古系列:盘古50K开发板 盘古50K开发板(MES50HP)采用了核心板+扩展板的结构,核心板与扩展板之间使用高速板对板连接器进行连接。 核心板主要由FPGA+2颗DDR3 + Flash+电源+复位构成,承担了FPGA的最小系统运行 ...

    2
    评论
    5739
    浏览
  • 紫光MES1/2KG 开发板——硬件使用说明

    一、开发板简介 MES1/2KG 开发板是一套基于紫光 FPGA 的开发套件,以紫光 Compact 系列PGC1KG-LPG100 / PGC2KG-LPG100 器件为核心,预留丰富的扩展 IO 及数码管、按键、LED 灯,为用户提供基本的硬件环境。 本开发系 ...

    5
    评论
    3583
    浏览
  • 参与FPGA开发者技术社区每周一练,精美开发板免费领! digest

    活动内容: 1、实验题,约5道题,用户根据1K开发板的5个实验,每个实验写一篇关于实验过程和学习收获的帖子 2、将已发布的帖子回复在本贴评论区,格式:标题+链接 发帖要求 : 【每周一练】+盘古1K开发板+“作者自 ...

    24
    评论
    31213
    浏览
  • 小眼睛科技紫光盘古50K开发板优秀评测报告精选

    1、 【小眼睛科技紫光盘古50K开发板试用体验】之一:开箱硬件赏析 小眼睛(深圳市小眼睛科技有限公司),是一家以FPGA为核心的设计公司。团队创始人及创始骨干在FPGA领域拥有超过10年的深厚行业经验和技术积累。擅长 ...

    6
    评论
    7155
    浏览
  • 【小眼睛科技紫光盘古50K开发板试用体验】+高速ADC数据采集视频 视频

    这次幸运的申请到盘古50K开发板,已过一段时间的努力,也实现了一些基础的功能。 这里发一个视频记录一下,感谢一下电子发烧友和小眼睛FPGA提供的盘古50K开发板。祝这次试用活动圆满收官。 视频中使用了盘古50K开发 ...

    2
    评论
    670
    浏览
  • Xilinx FPGA 1/4/8通道PCIe-DMA控制器IP,高性能应用介绍 attach_img

    Multi-ChannelPCIe QDMA&RDMA IP1    介绍基于PCI Express Integrated Block,Multi-Channel PCIe QDMA Subsystem实现了使用DMA地址队列的独立多通道、高性能Continous或Scather Gather DMA,提供FIFO ...

    4
    评论
    4342
    浏览
  • Xilinx FPGA NVMe Host Controller IP,NVMe主机控制器 attach_img

    NVMe Host Controller IP1     介绍NVMe Host Controller IP可以连接高速存储PCIe SSD,无需CPU和外部存储器,自动加速处理所有的NVMe协议命令,具备独立的数据写入AXI4-Stream/FIFO接口和数据 ...

    2
    评论
    4820
    浏览
  • 【基于Lattice MXO2的小脚丫FPGA核心板】工程创建和固件烧录

    基于Lattice MXO2 LPC的小脚丫FPGA核心板 - Type C接口 开发板的硬件规格如下: 核心器件:Lattice LCMXO2-4000HC-4MG132 132脚BGA封装,引脚间距0.5mm,芯片尺寸8mm x 8mm; 上电瞬时启动,启动时间<1ms; 4320个L ...

    1
    评论
    2035
    浏览
  • 紫光同创——PLL IP 的使用(Logos2)

    本文档主要针对 Logos2 系列的 PLL 配置,至于 Logos 系列的 PLL,可以参考《PLLIP 的使用(Logos)》的文档。 一、PLL IP 介绍 1、PLL 基本配置模式 Basic Configurations PLL IP 是紫光同创基于 PLL 及时钟网络 ...

    0
    评论
    1191
    浏览
  • 谈一谈FPGA设计中的功率计算

    随着工艺技术的越来越前沿化, FPGA器件拥有更多的逻辑、存储器和特殊功能,如存储器接口、 DSP块和多种高速SERDES信道,这些发展不断地对系统功率要求提出挑战。 功率计算的关键是两方面:静态和动态功率。 尽管FPGA ...

    0
    评论
    1900
    浏览
  • 【揭秘】紫光盘古系列:盘古1K2K开发板 attach_img

    本文将为小伙伴们介绍紫光盘古系列1K2K(MES1/2KG)开发板,盘古1K2K开发板是一款高性能入门级FPGA开发板! 盘古1K2K开发板以紫光Compact系列PGC1KG-LPG100/PGC2KG-LPG100器件为核心,采用先进成熟工艺和自主产权体 ...

    2
    评论
    2405
    浏览
  • 硬件工程师的开发心得

    这阵子在学习读SD卡时,遇到了一个非常大的问题。使用一家单片机访问SD卡成功,而更换其它家的,都失败了。示波器也上了,逻辑分析仪也上了,感觉很头疼啊。初始化部分都没问题,就是读取数据上,和实际存储的内容( ...

    0
    评论
    1404
    浏览
  • FPGA与MCU的应用场景

    我首次接触FPGA和MCU其实都是在大学,在大学里的期末综合设计就是用FPGA来设计一个简单的MCU,一个只有几条指令的MCU,两周的时间,基本上就两个小组搞定了。自此我就有了一种思维,那就是FPGA最大的作用就是来设计 ...

    1
    评论
    2051
    浏览
  • FPGA在自动驾驶领域有哪些优势?

    FPGA(Field-Programmable Gate Array,现场可编程门阵列)在自动驾驶领域具有显著的优势,这些优势使得FPGA成为自动驾驶技术中不可或缺的一部分。以下是FPGA在自动驾驶领域的主要优势: 高性能与并行处理能力: FP ...

    0
    评论
    1491
    浏览
  • FPGA在自动驾驶领域有哪些应用?

    FPGA(Field-Programmable Gate Array,现场可编程门阵列)在自动驾驶领域具有广泛的应用,其高性能、可配置性、低功耗和低延迟等特点为自动驾驶的实现提供了强有力的支持。以下是FPGA在自动驾驶领域的主要应用: 一 ...

    0
    评论
    1483
    浏览
  • FPGA在人工智能中的应用有哪些?

    FPGA(现场可编程门阵列)在人工智能领域的应用非常广泛,主要体现在以下几个方面: 一、深度学习加速 训练和推理过程加速:FPGA可以用来加速深度学习的训练和推理过程。由于其高并行性和低延迟特性,FPGA可以有效地 ...

    0
    评论
    1475
    浏览
  • 国产FPGA的发展前景是什么?

    国产FPGA的发展前景是积极且充满机遇的,主要体现在以下几个方面: 一、市场需求增长 技术驱动:随着5G、物联网、人工智能、大数据等技术的快速发展,对FPGA的性能和灵活性提出了更高要求,为国产FPGA提供了广阔的市 ...

    0
    评论
    1478
    浏览
  • 浅谈如何克服FPGA I/O引脚分配挑战

    前言 对于需要在PCB板上使用大规模FPGA器件的设计人员来说,I/O 引脚分配是必须面对的众多挑战之一。由于众多原因,许多设计人员发表为大型FPGA 器件和高级BGA封装确定I/O引脚配置或布局方案越来越困难。但是组合运 ...

    0
    评论
    1964
    浏览
  • Xilinx 7系列FPGA PCB设计指导

    引言: 从本文开始,我们陆续介绍下有关7系列FPGA通用PCB设计指导,重点介绍在PCB和接口级别做出设计决策的策略。由于FPGA本身也属于数字集成电路,文章中的大部分设计策略及概念也可为其他数字IC电路设计提供参考。 ...

    0
    评论
    1700
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第十一章)模拟波形实验例程说明

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1914
    浏览
  • verilog求倒数-ROM实现方法

    采用线性逼近法结合32段线性查找表的方式来实现1/z的计算。 首先将1/32-1/64的定点化数据存放到ROM中,ROM中存放的是扩大了2^20 次方的数字四舍五入后的整数部分。n值越大,精度越大,误差越小。这里取n=20; ROM中 ...

    0
    评论
    2074
    浏览
  • 一文简述FPGA的综合和约束的关系

    综合编译器将实现细节添加到抽象RTL模型,综合编译器: 将RTL功能转换为等效功能的通用逻辑门。 将通用门映射到特定的ASIC或FPGA目标。 执行逻辑优化以满足时钟速度要求。 执行逻辑优化以满足面积和功率要求, 执行 ...

    0
    评论
    2443
    浏览
  • FPGA DDR4读写实验(1)

    DDR4 SDRAM (Double-Data-Rate Fourth Generation Synchronous Dynamic Random Access Memory,简称为 DDR4 SDRAM),是一种高速 动态随机存取存储器 ,它属于 SDRAM 家族的存储器产品,提供了相较于 DDR3 SDRAM 更 ...

    0
    评论
    2656
    浏览
  • 盘古1k flash 启动

    PGC1KG-LPG100为CPLD,上次我使用在线烧写bit的方式跑了第一个点灯程序,今天我们来生成一个可flash启动的bit,让程序可以flash的方式启动。 还是之前的点灯程序 generate bitstream 右键 设置 ok后重新生成bit,下载 ...

    0
    评论
    1745
    浏览
  • 盘古1K: 程序运行成功,点灯实现

    网上买了个下载器今天总算能下载程序试试了,首先打开软件新建工程。 选择对应的芯片型号。 新建完成。 新建工程文件。 编辑一个简单的点灯程序LED_test.v。 将.v文件添加到工程中。 如果代码中有错会在console中报 ...

    0
    评论
    2315
    浏览
  • FPGA实现SDIO访问需要注意的问题

    FPGA实现SDIO访问时,需要注意以下几个关键问题和细节: 初始化过程: SDIO总线的初始化是确保FPGA与SD卡能够正常通信的第一步。这包括设置时钟频率、配置数据传输模式以及校验协议等。 初始化过程中,需要发送特定 ...

    0
    评论
    2140
    浏览
  • FPGA的SRIO接口使用应注意的事项

    FPGA的SRIO(Serial RapidIO)接口使用时,需要注意以下几个方面以确保数据交换和通信的顺利进行: 接口连接与配置 : 确保FPGA和与其通信的设备(如DSP)上都配备了SRIO接口,并使用正确的连接线将它们连接起来。 ...

    0
    评论
    2098
    浏览
  • 【紫光同创盘古PGX-Nano教程】——(盘古PGX-Nano开发板/PG2L50H_MBG324第十章)SRAM 读写实验例程说明

    适用于板卡型号:紫光同创PG2L50H_MBG324开发平台(盘古PGX-Nano) 一:盘古盘古PGX-Nano开发板简介PGX-Nano 是一套以紫光同创 FPGA 为核心的开发板,选用紫光同创 logos2 系列 28nm 工艺的 FPGA(PG2L50H_MBG324) ...

    0
    评论
    1874
    浏览
  • FPGA学习笔记---基本语法

    Verilog语法是指硬件能够实现的语法。它的子集很小。常用的RTL语法结构如下: 1、模块声明:module ... end module 2、端口声明:input, output, inout 3、信号类型:wire, reg, tri等 4、参数定义:parameter 5、运 ...

    0
    评论
    1804
    浏览
发文章

版主

+  加入 {FPGA开发者技术社区}
链接复制成功,分享给好友