完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,
在使用连接到真差分对MRCC引脚并具有真正p-n连接的差分形式外部时钟期间,已生成以下消息: [放置30-575]具有时钟功能的IO引脚和MMCM对的次优放置。 如果此子设计可接受此子优化条件,则可以使用.xdc文件中的CLOCK_DEDICATED_ROUTE约束将此消息降级为WARNING。 但是,强烈建议不要使用此覆盖。 这些示例可以直接在.xdc文件中使用,以覆盖此时钟规则。 Inst_ULRef / inst / clkin1_ibufgds(IBUFDS.O)被锁定到IOB_X1Y74由ClockPCcer临时放置在MMCME2_ADV_X1Y2上的Inst_ULRef / inst / mmcm_adv_inst(MMCME2_ADV.CLKIN1) 上述错误可能与其他连接的实例有关。 以下是所有相关时钟规则及其各自实例的列表。 时钟规则:rule_mmcm_bufg状态:PASS规则说明:驱动BUFG的MMCM必须放置在设备的同一半侧(顶部/底部)Inst_ULRef / inst / mmcm_adv_inst(MMCME2_ADV.CLKFBOUT)暂时由clockplacer放置在MMCME2_ADV_X1Y2和Inst_ULRef上 / inst / clkf_buf(BUFG.I)由clockplacer临时放置在BUFGCTRL_X0Y10上 在消息中提出的建议解决方案有效,但我的问题是这个错误的原因,因为我认为所有的硬件和固件注意事项已经参加,我正在使用标准MMCM(我以相同的方式使用它并且它正常工作,没有任何错误 )。 谢谢你的帮助。 mhmontazeri61 以上来自于谷歌翻译 以下为原文 Hi, During using a differential form external clock connected to true differential pair MRCC pins and with true p-n connection, below message has been generated: [Place 30-575] Sub-optimal placement for a clock-capable IO pin and MMCM pair. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule. < set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets Inst_ULRef/inst/clk_in1_MMCM_ULRef] > Inst_ULRef/inst/clkin1_ibufgds (IBUFDS.O) is locked to IOB_X1Y74 Inst_ULRef/inst/mmcm_adv_inst (MMCME2_ADV.CLKIN1) is provisionally placed by clockplacer on MMCME2_ADV_X1Y2 The above error could possibly be related to other connected instances. Following is a list of all the related clock rules and their respective instances. Clock Rule: rule_mmcm_bufg Status: PASS Rule Description: An MMCM driving a BUFG must be placed on the same half side (top/bottom) of the device Inst_ULRef/inst/mmcm_adv_inst (MMCME2_ADV.CLKFBOUT) is provisionally placed by clockplacer on MMCME2_ADV_X1Y2 and Inst_ULRef/inst/clkf_buf (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y10 the proposed solution presented in message works but my question is the reason of this error because i think all hardware and firmware considerations has been attended and i'm using a standard MMCM(that i use in a same way and it works properly without any error). Thanks for any help. mhmontazeri61 |
|
相关推荐
4个回答
|
|
你好@ mhmontazeri61
您可以发布您要定位的完整FPGA设备名称吗? 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) 以上来自于谷歌翻译 以下为原文 Hi @mhmontazeri61 Can you post the complete FPGA device name which you are targeting? Thanks, Deepika. -------------------------------------------------------------------------------------------- Google your question before posting. If someone's post answers your question, mark the post as answer with "Accept as solution". If you see a particularly good and informative post, consider giving it Kudos (the star on the left) |
|
|
|
我的设备是一个名为7K160的7系列Kintex FPGA,如果它很重要的话!
问候 mhmontazeri61 以上来自于谷歌翻译 以下为原文 My device is a 7-series Kintex FPGA named 7K160 if it's important! Regards mhmontazeri61 |
|
|
|
你好@ mhmontazeri61
你用的是哪个套餐? 打开合成设计并检查sitesMMCME2_ADV_X1Y2和IOB_X1Y74是否在同一时钟区域。 如果可能的话,上传位于.runs - > impl_1文件夹的_opt.dcp,以便我们查看并告知您此错误的原因。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) 以上来自于谷歌翻译 以下为原文 Hi @mhmontazeri61 Which package are you using? Open synthesized design and check if the sites MMCME2_ADV_X1Y2 and IOB_X1Y74 are in same clock region or not. If possible upload _opt.dcp located at .runs --> impl_1 folder so that we can review and let you know the reason for this error. Thanks, Deepika. -------------------------------------------------------------------------------------------- Google your question before posting. If someone's post answers your question, mark the post as answer with "Accept as solution". If you see a particularly good and informative post, consider giving it Kudos (the star on the left) |
|
|
|
最近也遇到这个问题,请问咋解决的
|
|
|
|
只有小组成员才能发言,加入小组>>
2384 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2264 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2431 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
759浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
548浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
371浏览 1评论
1966浏览 0评论
685浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-25 21:58 , Processed in 1.145384 second(s), Total 54, Slave 48 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号