完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
我的FPGA在RAM36E1上运行不足,我需要在设计中增加内存。
阅读UG363并没有帮助。 如果有人知道如何强制它使用RAMB18E1,请帮忙。 RAMB18E1在报告中几乎没有使用。 这是当前的报告 ================================================== === IO利用率: 保税的IOB数量:720个中的251个34% 已定位的IOB数量:251个中的245个97% 特定功能利用率: RAMB36E1 / FIFO36E1s数量:416中的360 86% 仅使用RAMB36E1的数字:360 仅使用FIFO36E1的数字:0 RAMB18E1 / FIFO18E1的数量:832中的8个1% 仅使用RAMB18E1的数字:8 仅使用FIFO18E1的数字:0 BUFG / BUFGCTRL数量:32个中的12个37% 用作BUFG的数字:12 用作BUFGCTRL的数字:0 ILOGICE1 / ISERDESE1s的数量:960中的0 0 0% OLOGICE1 / OSERDESE1s的数量:960中的0 0 0% BSCAN数量:4个中的0个0% BUFHCE数量:144个中0个0% BUFO数量:48个中的0个0% BUFIODQS的数量:96个中的0个0% BUFR数量:48个中0个0% CAPTUREs数量:0 0% DSP48E1的数量:576中的6个1% EFUSE_USR数量:0 0% FRAME_ECC的数量:1 0%中的0 GTXE1的数量:24个中的0个0% IBUFDS_GTXE1s数:12个中0个0% ICAP数量:0 0% IDELAYCTRL的数量:0中的0 0% IODELAYE1的数量:960中的0 0 0% MMCM_ADV数量:12个中的2个16% PCIE_2_0s数量:0 out of 2 0% STARTUP数:1中的1 100% SYSMON数量:0 0% TEMAC_SINGLEs数量:0 out of 0 0% 总体努力水平(-ol):高 路由器工作级别(-rl):高 ================================================== === 先谢谢你。 |
|
相关推荐
2个回答
|
|
只有当内存大小适合时,您才能使用较小的RAMB18E1。
推断 记忆应该使用默认所需的最小尺寸。 CoreGen的回忆可以 被构建为使用特定的原语或使用“最小区域”,这通常导致 RAMB使用次数最少。 一个常见的误解是报告的RAMB36E1和RAMB18E1的数量是 两者都可用。 这不是真的。 RAMB18E1基本上是RAMB36E1的1/2。 如果你使用 所有的RAMB36E1都没有免费的RAMB18E1。 所以使用报告真的具有欺骗性: RAMB36E1 / FIFO36E1s数量:416中的360 86% 这意味着你使用了相当于2 * 360 = 720 RAMBE18E1的 RAMB18E1 / FIFO18E1的数量:832中的8个1% 这只是使用块的1/2的ram块的子集。 所以实际上你没有824个剩余的RAMB18E1,但只有832 - 720 = 112.即416 RAMB36E1的 与832 RAMBE18E1相同的资源 - 只是计算方式不同。 要重新上限: 您的部件中没有416 RAMBE36E1和832 RAMBE18E1。 你有416 RAMBE36E1或832RAMBE18E1或两者的混合 2 * RAMBE36E1的+ RAMBE18E1的 |
|
|
|
谢谢(你的)信息
|
|
|
|
只有小组成员才能发言,加入小组>>
2385 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2264 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2433 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
759浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
548浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
371浏览 1评论
1966浏览 0评论
685浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-26 02:20 , Processed in 1.446044 second(s), Total 78, Slave 63 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号