完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,
我用过VC709评估板。 我必须通过FMC连接器提取大约10个单端信号进行调试。 为此,我订购了XM105调试卡,以便于焊接和探测。 我发现数据表中几乎有FMC的差分端口,Butdatasheet也表示我们可以为FMC使用80差分或160单端信号。 我尝试通过FMC连接器(例如H8)提取一些切换信号,但我无法在示波器中捕获它们的切换图像。 它只显示出嘈杂的波形。 怎么了? 我应该首先在FMC端口映射用户逻辑信号? 我将port属性设置为LVCMOS18。 |
|
相关推荐
6个回答
|
|
如果您使用的是VC709上连接到FMC1接口的模块,或者直接在FMC连接器上探测,则从您的帖子中不清楚。
您的原始帖子确实是指使用FMC-XM105模块,但您提到使用针脚H8与L24和M24相比。 目前尚不清楚你最初是指FPGA上的引脚H8,它连接到MGT接收器引脚和FMC接口上的引脚H8,即LA02_N。 请在以后的帖子中明确说明。 我的假设是你直接探测FMC连接器,因为引脚L24和 M24定义为HB17_CC_P | N,需要由FMC模块供电,I / O没有电源且无法运行。 如果您使用的是FMC_XM105并在HB17_CC_P | N引脚(XM105 J2.30和J2.32)上进行探测,则FMC模块将为HB总线供电,这些信号应处于活动状态。 第二种可能性是MMCM由于尚未定义引脚而保持复位状态。 最好从测试设计中删除MMCM。 最后,您没有使用ODDR2输出寄存器来重新生成I / O中的时钟,这会导致时钟波形的显着失真。 ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com 在原帖中查看解决方案 |
|
|
|
K,
检查信号完整性和测量设置。 它可能工作得很好,但你的测量技术有问题。 例如,具有长接地引线的示波器探头完全不适用于dast信号(上升时间与您希望看到的一样快)。 需要地面和信号长度小于1或2mm的探头。 那,并且观察到的信号需要被触发(参考)某个时钟和某些事件。 您的Xilinx FAE或您的Xilinx分销商FAE可以访问可以为您提供帮助的wsignal完整性和测量专家。 Austin Lesea主要工程师Xilinx San Jose |
|
|
|
嗨,
我将我们的设计从Synopsys Haps板(Virtex7 2000T)迁移到VC709(Virtex7 690T)。 为了调试,我将一些时钟信号旁路到FPGA输出中。 在Haps板中,我们可以轻松探测时钟信号,但在VC709中,时钟没有切换。 我们看不到来自dbg_clk1和dbg_clk2端口的任何时钟切换。 我非常怀疑它的系统时钟(SYSCLK_P& SYSCLK_N)不起作用。 在VC709中,只要上电就会自动生成SYSCLK_P和SYSCLK_N的差分时钟源,是吗? ---下面是我们XDC文件的一部分,特别是对于时钟---- #system时钟引脚映射:200MHz set_property PACKAGE_PIN H19 [get_ports SYSCLK_P] set_property IOSTANDARD DIFF_SSTL15 [get_ports SYSCLK_P] set_property PACKAGE_PIN G18 [get_ports SYSCLK_N] set_property IOSTANDARD DIFF_SSTL15 [get_ports SYSCLK_N] #用于调试:FMC中的时钟提取 set_property PACKAGE_PIN L24 [get_ports dbg_clk1] set_property IOSTANDARD LVCMOS18 [get_ports dbg_clk1] set_property PACKAGE_PIN M24 [get_ports dbg_clk2] set_property IOSTANDARD LVCMOS18 [get_ports dbg_clk2] ---下面是时钟向导生成时钟的verilog代码---- assign dbg_clk1 = clk_pin_clk_core; assign dbg_clk2 = clk_out1; //输入缓冲// ------------------------------------ IBUFDS clkin1_ibufgds(.O(clk_pin_clk_core),. I(SYSCLK_P),. IB(SYSCLK_N)); wire [15:0] do_unused; wire drdy_unused; wire psdone_unused; wire locked_int; wire clkfbout_clk_core; wire clkfbout_buf_clk_core; wire clkfboutb_unused; wire clkout0b_unused; wire clkout1b_unused; wire clkout2b_unused; wire clkout3b_unused; wire clkout4_unused; wire clkout5_unused; wire clkout6_unused; wire clkfbstopped_unused; wire clkinstopped_unused; wire reset_high; MMCME2_ADV#(。BANDWIDTH(“OPTIMIZED”),. CLKOUT4_CASCADE(“FALSE”),. COMPENSATION(“ZHOLD”),. STARTUP_WAIT(“FALSE”),. DIVCLK_DIVIDE(1),. CLKFBOUT_MULT_F(5.000),. CLKFBOUT_PHASE( 0.000),. CLKFBOUT_USE_FINE_PS(“FALSE”),. CLKOUT0_DIVIDE_F(2.500),. CLKOUT0_PHASE(0.000),. CLKOUT0_DUTY_CYCLE(0.500),. CLKOUT0_USE_FINE_PS(“FALSE”),. CLKOUT1_DIVIDE(5),. CLKOUT1_PHASE(0.000),. CLKOUT1_DUTY_CYCLE(0.500),. CLKOUT1_USE_FINE_PS(“FALSE”),. CLKOUT2_DIVIDE(4),. CLKOUT2_PHASE(0.000),. CLKOUT2_DUTY_CYCLE(0.500),. CLKOUT2_USE_FINE_PS(“FALSE”),. CLKOUT3_DIVIDE(10),. CLKOUT3_PHASE(0.000) ,.CLKOUT3_DUTY_CYCLE(0.500),. CLKOUT3_USE_FINE_PS(“FALSE”),. CLKIN1_PERIOD(5.0),. REF_JITTER1(0.010)) mmcm_adv_inst //输出时钟(.CLKFBOUT(clkfbout_clk_core),. CLKFBOUTB(clkfboutb_unused),. CLKOUT0(clk_out1_clk_core),. CLKOUT0B(clkout0b_unused),. CLKOUT1(clk_out2_clk_core),. CLKOUT1B(clkout1b_unused),. CLKOUT2(clk_out3_clk_core),. CLKOUT2B (clkout2b_unused),. CLKOUT3(clk_out4_clk_core),. CLKOUT3B(clkout3b_unused),. CLKOUT4(clkout4_unused),. CLKOUT5(clkout5_unused),. CLKOUT6(clkout6_unused),//输入时钟控制.CLKFBIN(clkfbout_buf_clk_core),. CLKIN1(clk_pin_clk_core) ,.CLKIN2(1'b0),//始终选择主输入时钟.CLKINSEL(1'b1),//用于动态重配置的端口.DADDR(7'h0),. DCLK(1'b0),. DEN(1'b0),. DI(16'h0),. DO(do_unused),. DRDY(drdy_unused),. DWE(1'b0),//用于动态相移的端口.PSCLK(1'b0), .PSEN(1'b0),. PSINCDEC(1'b0),. PSDONE(psdone_unused),//其他控制和状态信号.LOCKED(locked_int),. CLKINSTOPPED(clkinstopped_unused),. CLKFBSTOPPED(clkfbstopped_unused),. PVRDWN( 1'b0),. RST(reset_high)); assign reset_high = ~resetn; assign locked = locked_int; //输出缓冲// ----------------------------------- BUFG clkf_buf(.O(clkfbout_buf_clk_core),. I(clkfbout_clk_core)); BUFG clkout1_buf(.O(clk_out4),. I(clk_out1_clk_core)); BUFG clkout2_buf(.O(clk_out2),. I(clk_out2_clk_core)); BUFG clkout3_buf(.O(clk_out3),. I(clk_out3_clk_core)); BUFG clkout4_buf(.O(clk_out1),. I(clk_out4_clk_core)); |
|
|
|
如果您使用的是VC709上连接到FMC1接口的模块,或者直接在FMC连接器上探测,则从您的帖子中不清楚。
您的原始帖子确实是指使用FMC-XM105模块,但您提到使用针脚H8与L24和M24相比。 目前尚不清楚你最初是指FPGA上的引脚H8,它连接到MGT接收器引脚和FMC接口上的引脚H8,即LA02_N。 请在以后的帖子中明确说明。 我的假设是你直接探测FMC连接器,因为引脚L24和 M24定义为HB17_CC_P | N,需要由FMC模块供电,I / O没有电源且无法运行。 如果您使用的是FMC_XM105并在HB17_CC_P | N引脚(XM105 J2.30和J2.32)上进行探测,则FMC模块将为HB总线供电,这些信号应处于活动状态。 第二种可能性是MMCM由于尚未定义引脚而保持复位状态。 最好从测试设计中删除MMCM。 最后,您没有使用ODDR2输出寄存器来重新生成I / O中的时钟,这会导致时钟波形的显着失真。 ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com |
|
|
|
谢谢你的解释。对我来说应该是非常有帮助的。
我订购了XM105,但尚未交付。 我在等待送货。 我直接探测了FMC连接器(我以为我可以直接探测FMC端口)。 是的,如果未提供FMC的I / O功率,则无法切换I / O. 我不知道XM105为FMC提供I / O电源(这是我第一次使用FPGA及其FMC的专家)。 有没有其他方法可以在没有XM105的情况下为FMC HB总线提供I / O电源(在交付XM105之前)? |
|
|
|
>有没有其他方法可以在没有XM105的情况下为FMC HB总线提供I / O电源(在交付XM105之前)?
您必须将VADJ引脚上的跳线添加到VIO_M2C_B引脚才能提供电源,这对连接器的物理损坏有很好的改变,所以我不推荐它。 相反,只需使用连接到LA和HA总线的I / O,并忽略HB总线,直到获得XM105。 ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com |
|
|
|
只有小组成员才能发言,加入小组>>
2372 浏览 7 评论
2790 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2257 浏览 9 评论
3331 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2421 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
745浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
531浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
349浏览 1评论
749浏览 0评论
1950浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-17 17:40 , Processed in 1.234960 second(s), Total 88, Slave 71 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号