完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
我正在研究使用已注册输入的设计,但有些逻辑(不幸的是)需要对输入引脚进行非注册访问。
我在将这个FF打包到IOB时遇到了麻烦。 看看IOB图,看起来应该可以使用IFF,但也提供了一个不使用IFF的引脚路径。 我希望这个FF进入IOB以获得更好的设置/保持时间。 现在我的保持时间是负面的。 以上来自于谷歌翻译 以下为原文 I'm working on a design that uses a registered input but some of the logic (unfortunately) requires non-registered access to the input pin. I'm having trouble packing this FF into the IOB. Looking at the IOB diagram it seems like it should be possible to use the IFF but also provide a path to the pin that doesn't use the IFF. I'd like this FF to go into the IOB to get better setup/hold times. Right now my hold times are negative. |
|
相关推荐
4个回答
|
|
您可以尝试“IOB”约束。
请注意,如果输入板和第一个寄存器之间有任何逻辑,则无法将该寄存器打包到IOB。 VHDL语法ExampleDeclare VHDL约束如下:attribute iob:string;如下指定VHDL约束:{component_name | entity_name | label_name}的属性iob:{component | entity | label}为“{TRUE | FALSE | AUTO}”; 其中•TRUE允许将触发器或锁存器拉入IOB •FALSE表示不将其拉入IOB•仅XST使用AUTO。 XST考虑时序约束并自动决定是否推动触发器进入IOB。有关基本VHDL语法的更多信息,请参阅第3章中的“VHDL”.Verilog语法示例按如下方式指定Verilog约束:(* IOB =“{TRUE | FALSE | AUTO}“*)请参阅上面”VHDL语法示例“中的值定义。有关基本Verilog语法的更多信息,请参阅第3章中的”Verilog“。 有关这方面的更多信息可以在Constraint Guide中找到。 以上来自于谷歌翻译 以下为原文 You could try the "IOB" constraint. Note that if there is any logic between the input pad and first register, you could not pack this register to IOB. VHDL Syntax Example Declare the VHDL constraint as follows: attribute iob: string; Specify the VHDL constraint as follows: attribute iob of {component_name|entity_name|label_name}: {component|entity|label} is “{TRUE|FALSE|AUTO}”; where • TRUE allows the flip-flop or latch to be pulled into an IOB • FALSE indicates not to pull it into an IOB • AUTO is used by XST only. XST takes into account timing constraints and automatically decides to push or not to push flip-flops into IOBs For more information on basic VHDL syntax, see “VHDL” in Chapter 3. Verilog Syntax Example Specify the Verilog constraint as follows: (* IOB = “{TRUE|FALSE|AUTO}” *) See value definitions in “VHDL Syntax Example” above. For more information on basic Verilog syntax, see “Verilog” in Chapter 3. More info on this could be found in the Constraint Guide. |
|
|
|
嗯......还是不行。
它将强制翻牌进入输出IOB,而不是输入IOB,就像我想要的那样。 库ieee;使用ieee.std_logic_1164.all;实体测试是端口(时钟:在std_logic中; a,b,c:在std_logic中; dout1:输出std_logic; dout2:输出std_logic);结束测试;测试的架构test_arch是信号a_reg :std_logic; - 推进IOB !!! 属性iob:string; a_reg的属性iob:signal是“true”; 如果rising_edge(时钟)然后a_reg结束if,则开始进程(时钟)开始; 结束过程; dout1 dout2 end test_arch; 以上来自于谷歌翻译 以下为原文 Hmmm... still isn't working. It will force the flop into the output IOB, not the input IOB like I want it to. library ieee; use ieee.std_logic_1164.all; entity test is port( clock : in std_logic; a, b, c : in std_logic; dout1 : out std_logic; dout2 : out std_logic ); end test; architecture test_arch of test is signal a_reg : std_logic; -- push into the IOB!!! attribute iob: string; attribute iob of a_reg: signal is "true"; begin process(clock) begin if rising_edge(clock) then a_reg <= a; end if; end process; dout1 <= a_reg; dout2 <= a and b and c; end test_arch; |
|
|
|
您可以使用“BEL”约束将寄存器放入IOB的输入寄存器中。
对于您的特定示例,您可以在源代码中添加以下行: 属性bel:string; a_reg的属性bel:signal是“IFF1”; 干杯, 吉姆 干杯,吉姆 以上来自于谷歌翻译 以下为原文 You can use "BEL" constraint to place the register in the input register of IOB. For your particular example, you can add the lines below in your source code: attribute bel: string; attribute bel of a_reg: signal is "IFF1"; Cheers, Jim Cheers, Jim |
|
|
|
另一种方法是尽可能增加一些寄存器
-------------------------------------------------- ----------------------------别忘了回复,给予kudo并接受为解决方案--------- -------------------------------------------------- ------------------- 以上来自于谷歌翻译 以下为原文 another way is to put some additional registers if possible------------------------------------------------------------------------------ Don't forget to reply, give kudo and accept as solution ------------------------------------------------------------------------------ |
|
|
|
只有小组成员才能发言,加入小组>>
2384 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2264 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2431 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
757浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
547浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
369浏览 1评论
1965浏览 0评论
684浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-25 10:40 , Processed in 2.278007 second(s), Total 85, Slave 68 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号