赛灵思
直播中

周雯葭

7年用户 152经验值
私信 关注
[问答]

BRAM阅读访问出现错误的原因?

我正在使用具有真正双端口的BRAM控制器来将FPGA逻辑与微型接口连接起来。
我可以在UART上读取这些内存位置。
但是,BRAM的读访问似乎存在一些问题。
如图所示,当我写入多个位置(WEA =“1111”)时,其DOUT端口显示正在读取的数据(写入后的aclock)。
当我完成写入并且只读写位置时,DOUT端口显示相同的数据,这似乎是错误的。
我相信阅读时WEx端口应为“0000”。
请纠正我错在哪里。

回帖(3)

石俊梅

2020-4-24 09:13:41
你好@ deepakddn
看起来您在SDP模式下使用BRAM并执行写入和从端口-B读取。
你能上传完整的模拟转储吗?
可能是VCD格式?
此外,如果您正在使用块内存生成器IP,则上载相同的XCI文件。
谢谢,迪皮卡.----------------------------------------------
---------------------------------------------- Google之前的问题
张贴。
如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。
如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星)
举报

葛睿洁

2020-4-24 09:23:17
Iwant将RTL端和S_AXI总线侧端口用于写入和读取。
我尝试交换端口用于RTL和S_AXI总线端,但它没有帮助。
我在Vivado中找不到vcd格式选项,所以我上传默认生成的.wdb文件以及有问题的BRAM组件的.xci文件。
谢谢,
d
design_1_axi_bram_ctrl_1_0.7z 12 KB
design_1_blk_mem_gen_1_0.7z 14 KB
design_1_wrapper_behav.7z 481 KB
举报

葛睿洁

2020-4-24 09:38:17
当我将BRAM块生成器与BRAM控制器连接到S_AXI总线时,它只为BRAM coltroller和单端口或真正的双端口ram提供了选项。
举报

更多回帖

发帖
×
20
完善资料,
赚取积分