赛灵思
直播中

李丽

7年用户 304经验值
私信 关注
[问答]

翻译期间的约束错误

大家好,
这是关于ps2鼠标协议的一个例子。
最高实体:
entity mouse_led是
港口 (
clk,reset:in std_logic;
ps2d,ps2c:inout std_logic;
led:out std_logic_vector(7 downto 0)
);
结束mouse_led;
和我的constratints:
顶部的限制
#CLK声明并连接到C9 50 MHz时钟
NET“clk”PERIOD = 20.0ns HIGH 50%;
NET“clk”LOC =“C9”|
IOSTANDARD = LVTTL;
NET“重置”LOC =“K17”|
IOSTANDARD = LVTTL |
拉下;

#PS2 PINS
NET“ps2c”LOC“G14”|
IOSTANDARD = LVCMOS33 |
SLEW = SLOW |
DRIVE = 8;
NET“ps2d”LOC“G13”|
IOSTANDARD = LVCMOS33 |
SLEW = SLOW |
DRIVE = 8;
##################################### OUTPUTS ############
#################
#LED引脚

NET“led”LOC =“F9”|
IOSTANDARD = LVTTL |
SLEW = SLOW |
DRIVE = 8;
NET“led”LOC =“E9”|
IOSTANDARD = LVTTL |
SLEW = SLOW |
DRIVE = 8;
NET“led”LOC =“D11”|
IOSTANDARD = LVTTL |
SLEW = SLOW |
DRIVE = 8;
NET“led”LOC =“C11”|
IOSTANDARD = LVTTL |
SLEW = SLOW |
DRIVE = 8;
NET“led”LOC =“F11”|
IOSTANDARD = LVTTL |
SLEW = SLOW |
DRIVE = 8;
NET“led”LOC =“E11”|
IOSTANDARD = LVTTL |
SLEW = SLOW |
DRIVE = 8;
NET“led”LOC =“E12”|
IOSTANDARD = LVTTL |
SLEW = SLOW |
DRIVE = 8;
NET“led”LOC =“F12”|
IOSTANDARD = LVTTL |
SLEW = SLOW |
DRIVE = 8;

#文件结束

翻译失败:
警告:ConstraintSystem:176  - 在文件中:contraints.ucf(8):语法错误。
确保先前的约束规范以';'终止。
警告:ConstraintSystem:176  - 在文件中:contraints.ucf(9):语法错误。
确保先前的约束规范以';'终止。
为什么?
我不明白。
针脚不在。
这是一个问题吗?
这是我第一次尝试输入引脚。

以上来自于谷歌翻译


以下为原文

Hi all,

this is an example on ps2 mouse protocol.

The top entity :

entity mouse_led is   port (      clk, reset: in  std_logic;      ps2d, ps2c: inout std_logic;      led: out std_logic_vector(7 downto 0)   );end mouse_led;
and my constratints:

Constraints for top# CLK declared and connected to C9 50 MHz clockNET "clk" PERIOD = 20.0ns HIGH 50%;NET "clk" LOC = "C9" | IOSTANDARD = LVTTL;NET "reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN;## PS2 PINSNET "ps2c" LOC "G14" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8;NET "ps2d" LOC "G13" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8;##################################### OUTPUTS ############################## LED pins #NET "led<7>" LOC = "F9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;NET "led<6>" LOC = "E9" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;NET "led<5>" LOC = "D11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;NET "led<4>" LOC = "C11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;NET "led<3>" LOC = "F11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;NET "led<2>" LOC = "E11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;NET "led<1>" LOC = "E12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;NET "led<0>" LOC = "F12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 8;## End of File#

The translation fail for  :

WARNING:ConstraintSystem:176 - In file: contraints.ucf(8): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem:176 - In file: contraints.ucf(9): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.

Why ? I don't understand it. The pins are inout. Is this a problem ? This is my first attempt on input pins.



  

回帖(3)

李林

2018-10-8 17:51:20
flashman74写道:#PS2 PINSNET“ps2c”LOC“G14”|
IOSTANDARD = LVCMOS33 |
SLEW = SLOW |
DRIVE = 8; NET“ps2d”LOC“G13”|
IOSTANDARD = LVCMOS33 |
SLEW = SLOW |
DRIVE = 8;
在LOC.Cheers之后,^^^^^^^^^需要“=”,Jim
干杯,吉姆
在原帖中查看解决方案

以上来自于谷歌翻译


以下为原文

flashman74 wrote: 
# PS2 PINS
NET "ps2c" LOC "G14" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8;
NET "ps2d" LOC "G13" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8;
           ^^^^^^^^^ needs "=" after LOC.
 
Cheers,
Jim
 
Cheers,
JimView solution in original post
举报

李林

2018-10-8 18:08:31
flashman74写道:#PS2 PINSNET“ps2c”LOC“G14”|
IOSTANDARD = LVCMOS33 |
SLEW = SLOW |
DRIVE = 8; NET“ps2d”LOC“G13”|
IOSTANDARD = LVCMOS33 |
SLEW = SLOW |
DRIVE = 8;
在LOC.Cheers之后,^^^^^^^^^需要“=”,Jim
干杯,吉姆

以上来自于谷歌翻译


以下为原文

flashman74 wrote: 
# PS2 PINS
NET "ps2c" LOC "G14" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8;
NET "ps2d" LOC "G13" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8;
           ^^^^^^^^^ needs "=" after LOC.
 
Cheers,
Jim
 
Cheers,
Jim
举报

张秀芳

2018-10-8 18:22:56
谢谢!
我希望它只是疲惫:smileywink:
flashman

以上来自于谷歌翻译


以下为原文

Thank you!
 
I hope that it's only  tiredness :smileywink:
 
flashman
举报

更多回帖

发帖
×
20
完善资料,
赚取积分