完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,
我在使用ILA探针和adc时钟接口时遇到了麻烦。 我想首先测试我的时钟读入,以确保它正常运行。 我有来自ADC板的差分时钟输入。 我在时钟上创建了一个简单的计数器,带有一个触发器,用于在探头中测试它。 发生的错误是clk_out引脚属性保持未定义,然后据我所知ILA创建自己的具有不同周期的时钟。 我的问题是: 我的约束是否正确设置了时钟输入引脚? 我是否必须设置create_clock,这是设置此约束的正确方法吗? 如果我不希望它从ADC读入中完全改变,为什么我必须设置时钟周期,因为它与进入的数据同步,我可能会产生时序问题。 如何将clktest_0 clk_out的块引脚属性设置为clk类型而不是undef。 我在xdc文件中尝试了两种不同的设置,我从论坛上的其他讨论中读到但没有成功。 create_clock -name clktest_0_clk_out -period 40 [get_pins clktest_0 / clk_out] set_property TYPE clk [get_bd_ports clktest_0] 这是我到目前为止: XDC文件: create_clock -name adclk -period 40.000 -waveform {0.000 20.000} [get_ports clk_p] set_property IOSTANDARD LVDS [get_ports clk_p] set_property IOSTANDARD LVDS [get_ports clk_n] set_property PACKAGE_PIN T2 [get_ports clk_p] set_property PACKAGE_PIN T1 [get_ports clk_n] RTL模块: 库IEEE;使用IEEE.STD_LOGIC_1164.ALL; 库UNISIM;使用UNISIM.VComponents.all; entity clktest是Port(clk_n:in std_logic; clk_p:in std_logic; clk_out:out std_logic); end clktest; 架构clktest的行为是信号clk_buf:std_logic:='0'; 开始 IBUFGDS_inst:IBUFGDS通用映射(DIFF_TERM => FALSE, - 差分终端IBUF_LOW_PWR => TRUE, - 低功耗(TRUE)与性能(FALSE)设置,用于引用的I / O标准IOSTANDARD =>“DEFAULT”)端口映射( O => clk_buf, - 时钟缓冲器输出I => clk_p, - Diff_p时钟缓冲器输入(直接连接到顶级端口)IB => clk_n - Diff_n时钟缓冲器输入(直接连接到顶级端口)) ; - BUFG:全局时钟简单缓冲器BUFG_inst:BUFG端口映射(O => clk_out, - 1位输出:时钟输出I => clk_buf - 1位输入:时钟输入); 结束行为; |
|
相关推荐
2个回答
|
|
嗨,
首先,关于ADC时钟输入的约束:设置约束以确保FPGA能够使用您提供给它的时钟(即,能够获得时序收敛)。 假设ADC clk为80MHz,可以对100MHz的FPGA施加更高的约束。 这样你就可以确保FPGA能够通过一些备用来满足时序要求。 在一天结束时,设计的实际时钟频率将由ADC时钟提供,因此您不会因为约束而失去同步问题,设计将使用真实的物理输入时钟和它 如果时机好的话会同步到它。 关于clk_out约束,create_clock命令应该完成这项工作。 确保提供引脚的完整路径,因为我认为它将在块设计的包装下。 最好的办法是使用Timing Wizard生成约束。 干杯, Avi Chami MScFPGA网站 |
|
|
|
|
|
|
|
只有小组成员才能发言,加入小组>>
2384 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2264 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2431 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
759浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
548浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
370浏览 1评论
1966浏览 0评论
684浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-25 15:12 , Processed in 1.289620 second(s), Total 81, Slave 63 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号