完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨!
我正在努力在Vivado IDE(v2015.1)中创建块设计。 设计完成后,我将其加载到ZC706(Zynq 7000处理器)板上。 有人可以告诉我如何使用端口('Clk')作为我的设计的时钟源,以及如何在.xdc文件(设计约束文件)中定义它。 谢谢, 维奈 |
|
相关推荐
8个回答
|
|
@vinaygattu这个
使用实用程序缓冲区将diff clk转换为单端然后将clk应用于计数器ip。 CLK_P clk_n - > IBUFDS - > CLK - >计数器 这应该工作 -Pratham ------------------------------------------------ ----------------------------------------------请注意 - 请 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用并回复导向的帖子。感谢K- -------------------------------------------------- ----------------------- 在原帖中查看解决方案 |
|
|
|
你可以在这里找到一些例子
http://www.xilinx.com/support/documentation/university/Vivado-Teaching/Digital-Design/2014x/docs-pdf/xup_building_basic_elements_lab.pdfhttp://www.xilinx.com/support/university/vivado/vivado- 教学材料/数design.html 谢谢和RegardsBalkrishan ----------------------------------------------- ---------------------------------------------请将帖子标记为 一个答案“接受为解决方案”,以防它有助于解决您的查询。如果一个帖子引导到解决方案,请给予赞誉。 |
|
|
|
@vinaygattu只需在XDC的时钟端口上应用时钟,IO标准和封装引脚约束。
像这样和pin约束的东西 create_clock -period 5.000 -name sysclk -waveform {0.000 2.500} [get_ports clk] -Pratham ------------------------------------------------ ----------------------------------------------请注意 - 请 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用并回复导向的帖子。感谢K- -------------------------------------------------- ----------------------- |
|
|
|
嗨@ Pratham的,
系统时钟源为200MHz。信号对命名为SYSCLK_P和SYSCLK_N,每个信号连接到XC7Z045 AP SoC上的U1(分别为引脚H9和G9)。 我在.xdc文件中使用了这些命令。 set_property PACKAGE_PIN G9 [get_ports Clk] set_property IOSTANDARD LVDS [get_ports Clk] 我仍然没有得到该端口的时钟输出。 是否可以在不使用ZC706板上任何引脚的情况下定义自己的时钟? 谢谢, 维奈 |
|
|
|
@vinaygattu这个
使用实用程序缓冲区将diff clk转换为单端然后将clk应用于计数器ip。 CLK_P clk_n - > IBUFDS - > CLK - >计数器 这应该工作 -Pratham ------------------------------------------------ ----------------------------------------------请注意 - 请 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用并回复导向的帖子。感谢K- -------------------------------------------------- ----------------------- |
|
|
|
谢谢你,Pratham!时钟现在正在运作。
|
|
|
|
|
|
|
|
verma.rahul58@ymail.complease不要重启旧的已关闭的线程。
启动一个新线程,其中包含有关您的问题的更详细信息 一个简短的评论是,您将无法观察时钟切换LED。 任何时钟都太快而无法观察,它只会看起来像某个固定亮度的恒定振幅,而不是切换。 - 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用且回复的帖子。 |
|
|
|
只有小组成员才能发言,加入小组>>
2165 浏览 7 评论
2608 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2080 浏览 9 评论
3152 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2197 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
429浏览 1评论
1527浏览 1评论
在使用xc5vsx95T时JTAG扫片不成功,测量TDO无信号输出
2180浏览 0评论
511浏览 0评论
1644浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-4-27 04:25 , Processed in 1.160140 second(s), Total 82, Slave 66 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号