完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
[放置30-177]无法安置的位置!
时钟区域中的时钟多于每个时钟区域允许的最大时钟数。 clk_wiz / inst / mmcm_adv_inst(MMCME2_ADV.CLKFBIN)由clockplacer临时放置在MMCME2_ADV_X0Y0上obufds_fwd_clk(OBUFDS.I)被锁定到IOB_X1Y124 上述错误可能与其他连接的实例有关。 以下是所有相关时钟规则及其各自实例的列表。 时钟规则:rule_gclkio_mmcm_1load状态:PASS规则说明:如果未设置CLOCK_DEDICATED_ROUTE = BACKBONE,则驱动单个MMCM的IOB必须位于同一时钟区域clk_wiz / inst / clkin1_ibufg(IBUF.O)被锁定到IOB_X0Y24和clk_wiz / inst / mmcm_adv_inst(MMCME2_ADV.CLKIN1)由clockplacer临时放置在MMCME2_ADV_X0Y0上 |
|
相关推荐
9个回答
|
|
从错误消息看,进入时钟区域的时钟数量大于允许的数量。
您可以使用report_clock_utilization命令查看每个时钟区域的时钟利用率。 下面是报告的一部分,显示时钟区域X0Y0有14个全局时钟,但是只有12个可用资源。 在这14个中,有三个是BUFHCE的设计实例。 时钟区域:密钥资源利用率 ------------------------------------------- + ------------------- + -------------- -------------- + + -------------- -------------- + + + -------------- ---- ---------- + -------------- -------------- + --------- + ----- + -------------- -------------- + + -------------- + -------------- -------------- + + + -------------- | | 全球时钟| BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E1 | + ------------------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ---- - + ------- + ------ + ------- + ------ + ------- + ------ + - ----- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + | 时钟区域名称| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| 二手| 可用| + ------------------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ---- - + ------- + ------ + ------- + ------ + ------- + ------ + - ----- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + | X0Y0 | 14 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 5 | 0 | 0 | 16 | 50 | 7 | 50 | 0 | 21600 | 0 | 3200 | 0 | 60 | 0 | 30 | 0 | 60 | | X1Y0 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 5 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 20000 | 0 | 3200 | 0 | 40 | 0 | 20 | 0 | 40 | | X0Y1 | 5 | 12 | 1 | 4 | 0 | 2 | 1 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 5 | 50 | 6 | 50 | 0 | 33600 | 0 | 5600 | 0 | 100 | 0 | 50 | 0 | 100 | | X1Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 32000 | 0 | 5600 | 0 | 80 | 0 | 40 | 0 | 80 | | X0Y2 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 28800 | 0 | 5600 | 0 | 100 | 0 | 50 | 0 | 100 | | X1Y2 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 32000 | 0 | 5600 | 0 | 80 | 0 | 40 | 0 | 80 | | X0Y3 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 28800 | 0 | 5600 | 0 | 100 | 0 | 50 | 0 | 100 | | X1Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 32000 | 0 | 5600 | 0 | 80 | 0 | 40 | 0 | 80 | | X0Y4 | 10 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 5 | 0 | 1 | 5 | 50 | 5 | 50 | 0 | 20400 | 0 | 3000 | 0 | 50 | 0 | 25 | 0 | 60 | | X1Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 5 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 20000 | 0 | 3200 | 0 | 40 | 0 | 20 | 0 | 40 | + ------------------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ---- - + ------- + ------ + ------- + ------ + ------- + ------ + - ----- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + ------ + ------- + 谢谢和RegardsBalkrishan ----------------------------------------------- ---------------------------------------------请将帖子标记为 一个答案“接受为解决方案”,以防它有助于解决您的查询。如果一个帖子引导到解决方案,请给予赞誉。 |
|
|
|
如何删除此错误?如何设置时钟专用路由到主干
|
|
|
|
你好@ hulk789
请附上位于.runs - > impl_1文件夹中的runme.log文件。 同时打开合成设计,运行以下命令并在此处附加日志。 opt_design place_ports //将部分放置留在内存中,可用于调试 report_clock_utilization 谢谢, 迪皮卡。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
嗨@ vemuladplace_ports给出了同样的错误
|
|
|
|
你好@ hulk789
请在此处附加位于.runs - > impl_1文件夹的_opt.dcp文件。 谢谢, 迪皮卡。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
|
|
|
|
你好@ hulk789
检查项目目录 project_name / project_name.runs / impl_1文件夹 谢谢, 迪皮卡。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
|
|
|
|
你好@ hulk789
好试试这个: 在GUI中打开合成设计并使用以下命令编写DCP write_checkpoint post_synth.dcp 谢谢, 迪皮卡。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) |
|
|
|
只有小组成员才能发言,加入小组>>
2388 浏览 7 评论
2803 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2270 浏览 9 评论
3338 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2438 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
765浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
549浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
379浏览 1评论
1972浏览 0评论
689浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-27 11:07 , Processed in 1.463906 second(s), Total 92, Slave 76 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号