完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
我正在运行mig_23程序。
二手FPGA器件:sprtan3 - XC3S1000 - FG456 - (速度:-4) 二手DDR2内存:MT47H32M16BN-3(美光) 我用上面的信息生成了ddr2控制器。 但实体中的ddr2控制器isnodqs_n信号。 以下情况。 ========================================= 实体mig_23是端口(cntrl0_ddr2_dq:INOUT std_logic_vector(15 DOWNTO 0); cntrl0_ddr2_a:出std_logic_vector(12 DOWNTO 0); cntrl0_ddr2_ba:出std_logic_vector(1 DOWNTO 0); cntrl0_ddr2_cke:出STD_LOGIC; cntrl0_ddr2_cs_n:出STD_LOGIC; cntrl0_ddr2_ras_n:出STD_LOGIC; cntrl0_ddr2_cas_n:出STD_LOGIC; cntrl0_ddr2_we_n:出STD_LOGIC; cntrl0_ddr2_odt:出STD_LOGIC; cntrl0_ddr2_dm:出std_logic_vector(1 DOWNTO 0); cntrl0_rst_dqs_div_in:在STD_LOGIC; cntrl0_rst_dqs_div_out:出STD_LOGIC; reset_in_n:在STD_LOGIC; cntrl0_burst_done:在STD_LOGIC; cntrl0_init_done:出STD_LOGIC; cntrl0_ar_done:出STD_LOGIC; cntrl0_user_data_valid:出STD_LOGIC; cntrl0_auto_ref_req:出STD_LOGIC; cntrl0_user_cmd_ack:出STD_LOGIC; cntrl0_user_command_register:在std_logic_vector(2 DOWNTO 0); cntrl0_clk_tb:出STD_LOGIC; cntrl0_clk90_tb:出STD_LOGIC; cntrl0_sys_rst_tb:出STD_LOGIC; cntrl0_sys_rst90_tb:出STD_LOGIC; cntrl0_sys_rst180_tb:out std_logic; cntrl0_u ser_output_data:out std_logic_vector(31 downto 0); cntrl0_user_input_data:在std_logic_vector中(31 downto 0); cntrl0_user_data_mask:在std_logic_vector中(3 downto 0); cntrl0_user_input_address:在std_logic_vector中(24 downto 0); clk_int:在std_logic中; clk90_int:在std_logic中; dcm_lock:在std_logic中; cntrl0_ddr2_dqs:inout std_logic_vector(1 downto 0); cntrl0_ddr2_ck:输出std_logic_vector(0 downto 0); cntrl0_ddr2_ck_n:out std_logic_vector(0 downto 0)); end mig_23; ================================================== ==== 上面的实体没有cntrl0_ddr2_dqs_n信号。 但是,使用mig23 ddr2_controller的virtex4是dqs_n信号。 所以,Isquestion spartan3mig23_entity没有dqs_n信号? 等待你的回复。 先谢谢你。 以上来自于谷歌翻译 以下为原文 I running mig_23 program . Used FPGA Device : sprtan3 - XC3S1000 - FG456 - (speed : -4 ) Used DDR2 memory : MT47H32M16BN-3 ( Micron ) I generated ddr2 controller with Above information. but ddr2 controller is no dqs_n signal in entity. below contexts. ========================================= entity mig_23 is port ( cntrl0_ddr2_dq : inout std_logic_vector(15 downto 0); cntrl0_ddr2_a : out std_logic_vector(12 downto 0); cntrl0_ddr2_ba : out std_logic_vector(1 downto 0); cntrl0_ddr2_cke : out std_logic; cntrl0_ddr2_cs_n : out std_logic; cntrl0_ddr2_ras_n : out std_logic; cntrl0_ddr2_cas_n : out std_logic; cntrl0_ddr2_we_n : out std_logic; cntrl0_ddr2_odt : out std_logic; cntrl0_ddr2_dm : out std_logic_vector(1 downto 0); cntrl0_rst_dqs_div_in : in std_logic; cntrl0_rst_dqs_div_out : out std_logic; reset_in_n : in std_logic; cntrl0_burst_done : in std_logic; cntrl0_init_done : out std_logic; cntrl0_ar_done : out std_logic; cntrl0_user_data_valid : out std_logic; cntrl0_auto_ref_req : out std_logic; cntrl0_user_cmd_ack : out std_logic; cntrl0_user_command_register : in std_logic_vector(2 downto 0); cntrl0_clk_tb : out std_logic; cntrl0_clk90_tb : out std_logic; cntrl0_sys_rst_tb : out std_logic; cntrl0_sys_rst90_tb : out std_logic; cntrl0_sys_rst180_tb : out std_logic; cntrl0_user_output_data : out std_logic_vector(31 downto 0); cntrl0_user_input_data : in std_logic_vector(31 downto 0); cntrl0_user_data_mask : in std_logic_vector(3 downto 0); cntrl0_user_input_address : in std_logic_vector(24 downto 0); clk_int : in std_logic; clk90_int : in std_logic; dcm_lock : in std_logic; cntrl0_ddr2_dqs : inout std_logic_vector(1 downto 0); cntrl0_ddr2_ck : out std_logic_vector(0 downto 0); cntrl0_ddr2_ck_n : out std_logic_vector(0 downto 0) ); end mig_23; ====================================================== Above entity is no cntrl0_ddr2_dqs_n signals. but , virtex4 using mig23 ddr2_controller is dqs_n signals. so ,Is question spartan3 mig23_entity no dqs_n signal ? Waiting for your reply. Thank you in advance. |
|
相关推荐
1个回答
|
|
Spartan-3不支持DIFF_SSTL18_II IO标准,因此MIG的设计不允许使用差分DQS。
其他Spartan-3系列(如3E,3A和3A DSP)确实支持差分II类SSTL18,因此MIG可以为它们启用差分DQS。 在133 MHz(最大为-4 XC3S1000)时,单端DQS应该没有问题。 以上来自于谷歌翻译 以下为原文 Spartan-3 doesn't support the DIFF_SSTL18_II IO standard, so the design from MIG doesn't allow differential DQS for it. Other Spartan-3 families such as 3E, 3A and 3A DSP do support differential class II SSTL18, so MIG can enable differential DQS for them. At 133 MHz (max for -4 XC3S1000) there should be no issue with single ended DQS. |
|
|
|
只有小组成员才能发言,加入小组>>
2380 浏览 7 评论
2797 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2262 浏览 9 评论
3335 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2428 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
756浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
545浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
366浏览 1评论
1963浏览 0评论
682浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-23 01:40 , Processed in 1.074468 second(s), Total 48, Slave 42 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号