完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
|
【FPGA每周一练】FPGA的HDL建模第二周
今天迎来了我们的FPGA每周一练第二周 首先回答上周一位坛友@sunedi561的提:全加器有什么典型应用? 简单来说全加器除了可以用作二进制数的加法运算外,还可以应用在其它方向。例如:二进制的减法、乘法运算、BCD码的加法、减法、码组变换、数码比较,以及其它组合电路的设计等方面。 话入正题: 感谢大家的热情参与,感谢大家的积极回复。对于上周问题 1.用Verilog实现设计一个全加 2.四选一的多路选择器。 我看见对选择器是设计基本一样,使用的是CASE语句,用CASE语句可以实现无优先级的选择。当然在新版Verilog综合器中使用IF时只要条件变量各不相同,且相斥,也是可以综合出无优先级的。那我还是在写一遍:
但是在全加器上问题还是挺多的,不过不是严重问题。首先问题是我在写问题的时候写错一个字,我想写的是设计“一位全加器”但是写成了“一个全加器”。好在大家都理解了题目意思。 很多人会把写Verilog代码想象成写C类程序。事实上这种想法是不对的,因为Verilog是硬件描述语言。何为硬件描述语言?就是描述电路的,描述功能,实现功能。只要功能实现了,代码就要像看女生的超短裙一样,越短越好。 但是你写的代码不单纯是给计算机读的,如果如果单纯的给计算机读,那好啦,写0101010101....计算机岂不是很开心。代码最主要就是给人读的,给人读的就要注意什么?可读性!!(这个是个很重要的问题,这个课程结束后,可能会讲RTL编码规范,到时候细讲。)那我们此次的代码要怎样写呢?我也给个参考:
本周问题来了: 1、设计一个10进制计数器; 2、设计3-8译码器。 欢迎各位朋友们积极跟帖回答交流,希望能和大家在学习FPGA的道路上携手共进,共同进步,如果有任何问题也可回帖探讨! 【FPGA每周一练】FPGA的HDL建模第一周 |
|
相关推荐
18个回答
|
|
|
欢迎各位朋友们积极跟帖回答交流
|
|
|
|
|
|
本帖最后由 jone_j 于 2014-11-26 18:39 编辑
|
|
|
1 条评论
|
|
|
|
|
|
|
|
|
|
|
|
|
|
本帖最后由 camp 于 2014-11-27 09:01 编辑
看大家那么起兴趣,我也贴一个计数器应用
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
评分
|
||
|
1 条评论
|
||
|
|
|
1 条评论
|
|
|
刚学FPGA不久,我也贴一个
评分
|
||
|
1 条评论
|
||
|
|
|
|
|
|
|
|
|
|
2 条评论
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
3-8译码器
|
|
|
|
|
|
module DecimalCounter(clk, rst_n, en, cnt);
input clk, rst_n, en; output cnt; reg [3:0] cnt; always @(posedge clk or negedge rst_n) if(!rst_n) cnt <= 4'd0; else if(en) begin if(cnt==4'd10) cnt <= 4'd0; else cnt <= cnt + 1'b1; end endmodule |
|
|
|
|
|
module 38Decoder(en, din, dout);
input clk, rst_n; input [2:0] din; output [7:0] dout; reg [7:0] dout; always @(clk or en) if(en) case(din) 3'b000: dout <= 8'b11111110; 3'b001: dout <= 8'b11111101; 3'b010: dout <= 8'b11111011; 3'b011: dout <= 8'b11110111; 3'b100: dout <= 8'b11101111; 3'b101: dout <= 8'b11011111; 3'b110: dout <= 8'b10111111; 3'b111: dout <= 8'b01111111; endcase else dout <= 8'b11111111; endmodule |
|
|
|
|
|
|
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
NVMe高速传输之摆脱XDMA设计50:主要功能测试结果与分析2 nvmePCIe高速存储
252 浏览 0 评论
NVMe高速传输之摆脱XDMA设计49:主要功能测试结果与分析1
1501 浏览 0 评论
907 浏览 0 评论
855 浏览 0 评论
658 浏览 0 评论
4426 浏览 63 评论
/9
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-12-2 03:25 , Processed in 1.816007 second(s), Total 154, Slave 118 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191

淘帖
12651