发 帖  
[问答]

使用FPGA产生一个5MHz的时钟信号,怎样把脉冲信号叠加到时钟信号上?

44 FPGA 时钟信号
2024-8-19 07:18:41   评论 分享淘帖 邀请回答 举报
1个回答
2024-8-19 16:25:18 评论

举报

只有小组成员才能发言,加入小组>>

2833个成员聚集在这个小组

加入小组

创建小组步骤

快速回复 返回顶部 返回列表
关注微信公众号

电子发烧友网

电子发烧友论坛

社区合作
刘勇
联系电话:15994832713
邮箱地址:liuyong@huaqiu.com
社区管理
elecfans短短
微信:elecfans_666
邮箱:users@huaqiu.com
关闭

站长推荐 上一条 /6 下一条

快速回复 返回顶部 返回列表