完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
我正在使用斯巴达3E入门套件。
请帮助我在XILINX的原理图编辑器中生成200MHZ时钟信号。 以上来自于谷歌翻译 以下为原文 I AM USING SPARTAN 3E STARTER KIT. PLEASE HELP ME TO GENERATE 200MHZ CLOCK SIGNAL IN SCHEMAtiC EDITOR IN XILINX. |
|
相关推荐
1个回答
|
|
一个解决方案,可能是这样的:
图书馆; 使用ieee.std_logic_1164.ALL; 图书馆UNISIM; 使用UNISIM.Vcomponents.ALL; 实体CLK200是 端口(CLK_50MHZ:在std_logic中; CLK_200MHz:输出std_logic; RESET_IN:在std_logic中; RESET_OUT:out std_logic); 结束CLK200; CLK200的架构行为是 信号DCM_CLK_IN:std_logic; 信号DCM_CLK_100M:std_logic; 信号DCM_CLK_200M:std_logic; 信号RESET:std_logic; 信号RESET_INV:std_logic; 信号DCM_LOCKED_OUT:std_logic; 开始 U1:BUFG端口映射(I => CLK_50MHZ,O => DCM_CLK_IN); - 连接DCM_CLK_IN至50 MHz时钟 U2:BUFG端口映射(I => DCM_CLK_200M,O => CLK_200MHZ); - 将DCM输出连接至200 MHz时钟输出 U3:DCM_SP - 使用DCM将50MHz时钟乘以200MHz时钟 通用地图( CLKIN_PERIOD => 20.000,CLKIN_DIVIDE_BY_2 => FALSE,CLK_FEEDBACK =>“2X”, CLKFX_DIVIDE => 1,CLKFX_MULTIPLY => 4, DFS_FREQUENCY_MODE =>“LOW”,DLL_FREQUENCY_MODE =>“LOW”, DESKEW_ADJUST =>“SYSTEM_SYNCHRONOUS”, DUTY_CYCLE_CORRECTION => TRUE,FACTORY_JF => X“C080”, STARTUP_WAIT => FALSE) 港口地图( CLKIN => DCM_CLK_IN,CLKFB => DCM_CLK_100M, CLK0 =>打开,CLK180 =>打开, CLK270 =>打开,CLK90 =>打开, CLK2X => DCM_CLK_100M,CLK2X180 =>打开, CLKFX => DCM_CLK_200M,CLKFX180 =>打开, LOCKED => DCM_LOCKED_OUT,STATUS => open,RST => RESET); U4:INV端口映射(I => RESET,O => RESET_INV); - 生成inv重置 U5:NAND2端口映射(I0 => DCM_LOCKED_OUT,I1 => RESET_INV,O => RESET_OUT); - 生成重置 结束行为; 以上来自于谷歌翻译 以下为原文 A solution, could be something like this: library ieee;use ieee.std_logic_1164.ALL;library UNISIM;use UNISIM.Vcomponents.ALL;entity CLK200 is Port ( CLK_50MHZ : in std_logic; CLK_200MHz : out std_logic; RESET_IN : in std_logic; RESET_OUT : out std_logic);end CLK200;architecture BEHAVIORAL of CLK200 is signal DCM_CLK_IN: std_logic; signal DCM_CLK_100M: std_logic; signal DCM_CLK_200M: std_logic; signal RESET: std_logic; signal RESET_INV: std_logic; signal DCM_LOCKED_OUT: std_logic;begin U1: BUFG port map(I=>CLK_50MHZ, O=>DCM_CLK_IN); -- connect to DCM_CLK_IN to 50 MHz clock U2: BUFG port map(I=>DCM_CLK_200M, O=>CLK_200MHZ); -- connect DCM output to 200 MHz clock out U3: DCM_SP -- Use DCM to multiply 50MHz clock to 200MHz clock generic map ( CLKIN_PERIOD => 20.000, CLKIN_DIVIDE_BY_2 => FALSE, CLK_FEEDBACK => "2X", CLKFX_DIVIDE => 1, CLKFX_MULTIPLY => 4, DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DUTY_CYCLE_CORRECTION => TRUE, FACTORY_JF => X"C080", STARTUP_WAIT => FALSE) port map ( CLKIN => DCM_CLK_IN, CLKFB => DCM_CLK_100M, CLK0 => open, CLK180 => open, CLK270 => open, CLK90 => open, CLK2X => DCM_CLK_100M, CLK2X180 => open, CLKFX => DCM_CLK_200M, CLKFX180 => open, LOCKED => DCM_LOCKED_OUT, STATUS => open, RST => RESET); U4: INV port map (I=>RESET, O=>RESET_INV); -- Generate inv reset U5: NAND2 port map (I0=>DCM_LOCKED_OUT, I1=>RESET_INV, O=>RESET_OUT); -- Generate reset outend BEHAVIORAL; |
|
|
|
只有小组成员才能发言,加入小组>>
2378 浏览 7 评论
2793 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2260 浏览 9 评论
3334 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2426 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
750浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
537浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
360浏览 1评论
753浏览 0评论
1955浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-20 02:24 , Processed in 0.962739 second(s), Total 48, Slave 42 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号