完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
电子发烧友论坛扫一扫,分享给好友
|
我使用'和'gate和'xor'门为半加器编写了一个超级简单的VHDL代码。
我首先单独为gate和xor gate创建VHDL模块,然后使用package(即Pack1 - 我将其声明为组件)并创建了一个半加器程序。 这是我的半加法器程序: 图书馆IEEE; 使用IEEE.STD_LOGIC_1164.ALL; 使用IEEE.STD_LOGIC_ARITH.ALL; 使用IEEE.STD_LOGIC_UNSIGNED.ALL; 使用work.Pack1.all; 实体ha22_s是 port(ha,hb:in std_logic; hs,hc:out std_logic); 结束ha22_s; ha22_s的架构aaditi是 开始 c1:xor22端口映射(ha,hb,hs); c2:和22端口映射(ha,hb,hc); 结束aaditi; 以下是我的包(即pack1): 图书馆IEEE; 使用IEEE.STD_LOGIC_1164.ALL; 使用IEEE.STD_LOGIC_ARITH.ALL; 使用IEEE.STD_LOGIC_UNSIGNED.ALL; 包Pack1是 组件和22是 port(a,b:in std_logic; o:out std_logic); 最终组件; 组件xor22是 port(a,b:in std_logic; o:out std_logic); 最终组件; 结束Pack1; 当我运行我的半加器程序时,我得到这个警告: 警告:Xst:2211 - “C:/ Documents and Settings / All Users / Documents / VLSI / Project Programs- Xilinx / Temporary Check / Ha22_s / ha22_s.vhd”第34行:实例化黑匣子模块。 警告:Xst:2211 - “C:/ Documents and Settings / All Users / Documents / VLSI / Project Programs- Xilinx / Temporary Check / Ha22_s / ha22_s.vhd”第35行:实例化黑匣子模块。 警告:Xst:2036 - 在由黑盒驱动的端口上插入OBUF。 可能的模拟不匹配。 警告:Xst:2036 - 在由黑盒驱动的端口上插入OBUF。 可能的模拟不匹配。 当我最终在isim中使用testbench模拟我的程序时,输出wavefrom未定义为'U'。 我在网上搜索,发现这是因为“HDL中的实例化组件实际上是一个黑盒子 - 一个没有HDL源的组件。” 我不明白 - 我的所有组件都是.vhd扩展,我的意思是它们是VHDL代码。 那么为什么这个错误? 另一个搜索结果表明在我的架构中添加以下内容会有所帮助: “属性box_type:string; 属性box_type: 组件是“black_box”; 我真的不理解这一点。 请你帮帮我..给我一个线索或者什么可以帮我解决这个问题。请。 谢谢。 以上来自于谷歌翻译 以下为原文 I have written a super simple VHDL code for half adder using 'and' gate and 'xor' gate. I first created VHDL modules for and gate and xor gate individually and then used package (namely Pack1 - where I declared these as components) and created a program for half adder. This is my program for half adder: library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;use work.Pack1.all;entity ha22_s isport(ha,hb: in std_logic; hs,hc: out std_logic);end ha22_s;architecture aaditi of ha22_s i***eginc1: xor22 port map (ha,hb,hs);c2: and22 port map (ha,hb,hc);end aaditi; and the following is my package (namely pack1):library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;package Pack1 iscomponent and22 isport(a, b: in std_logic; o: out std_logic);end component;component xor22 is port(a,b: in std_logic; o : out std_logic);end component; end Pack1; when I run my half adder program I get this warning:WARNING:Xst:2211 - "C:/Documents and Settings/All Users/Documents/VLSI/Project Programs- Xilinx/Temporary Check/Ha22_s/ha22_s.vhd" line 34: Instantiating black box module WARNING:Xst:2211 - "C:/Documents and Settings/All Users/Documents/VLSI/Project Programs- Xilinx/Temporary Check/Ha22_s/ha22_s.vhd" line 35: Instantiating black box module I really don't understand much of this too. Can u please help me..give me just a clue or something that can help me crack this.Please. Thank You. |
|
相关推荐
6个回答
|
|
|
两种可能性。
1)您的路径名中包含空格,Xilinx工具不适用于空格 2)您必须在项目中包含每个文件 ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com 在原帖中查看解决方案 以上来自于谷歌翻译 以下为原文 Two possibilities. 1) Your path name has spaces in it and the Xilinx tools don't work well with spaces 2) You must include each file with the project ------Have you tried typing your question into Google? If not you should before posting. Too many results? Try adding site:www.xilinx.comView solution in original post |
|
|
|
|
|
两种可能性。
1)您的路径名中包含空格,Xilinx工具不适用于空格 2)您必须在项目中包含每个文件 ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com 以上来自于谷歌翻译 以下为原文 Two possibilities. 1) Your path name has spaces in it and the Xilinx tools don't work well with spaces 2) You must include each file with the project ------Have you tried typing your question into Google? If not you should before posting. Too many results? Try adding site:www.xilinx.com |
|
|
|
|
|
谢谢你的解决方案。
您提到的第二种可能性是导致问题。 再次感谢你。 以上来自于谷歌翻译 以下为原文 Thank you for the solution. The second possibility you mentioned was causing the problem. Thank you again. |
|
|
|
|
|
我也有同样的问题。
但我没有得到答案。请帮助我.... 以上来自于谷歌翻译 以下为原文 I too have same problem . but i m not getting answer given.please help me.... |
|
|
|
|
|
原始海报没有包含所有必要的文件。
在包含包含黑盒子组件的文件之后,模拟工作。 ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com 以上来自于谷歌翻译 以下为原文 The original poster did not include all files that were necessary. After including the file that included the black box component then the simulation worked. ------Have you tried typing your question into Google? If not you should before posting. Too many results? Try adding site:www.xilinx.com |
|
|
|
|
|
嗨,在使用核心生成器生成Block Ram并将其放入ISE中的模块后,我得到了相同的结果。
ISIM模拟和ISE综合工作正常,但我得到了关于黑盒实例化的单一警告。 我需要把这个模块放到一个使用Makefile(命令行)的更大的设计中,我想知道是否可能没有我需要的所有文件,或者我没有在我的ISE项目中找到正确的位置 因此我的Makefile也会失败。 核心生成器输出许多文件(.vhd,.vho,.sym,.ngc,.coe,.mif等等),它在我的项目中创建了一个ipcore_dir目录,我在大型Makefile设计层次结构中没有这个目录。 我应该移动哪些文件/目录以及我应该在哪里移动它们以使xst命令识别块ram并在设计中实例化它。我还需要初始化文件(.coe)在Makefile设计中有效。 例如,在我将.mif文件从项目目录的ipcore_dirto上移一级之前,ISIM不会模拟我的模块。 是否需要执行某些文件移动才能使命令行'xst'通过初始化实例化生成的内核? 以上来自于谷歌翻译 以下为原文 Hi, I get the same thing after generating a Block Ram with the core generator and putting it in a module in ISE. The ISIM simulation and ISE synthesis work fine but I get this single warning about the black box instantiation. I need to put this module into a larger design that uses a Makefile(command line) and I'm wondering if possibly I don't have all the files I need or if I don't have them the right place in my ISE project and therefore my Makefile will fail too. The core generator output many files (.vhd, .vho,.sym, .ngc,.coe, .mif, etc..) and it created a ipcore_dir directory in my project which I will not have in the larger Makefile design hierarchy. Which files/directories should I move and where should I move them to make the xst command recognize the block ram and instantiate it in the design. I also need the initialization file (.coe) to be valid in the Makefile design. As an example, ISIM would not simulate my module until I moved the .mif file up one level from the ipcore_dir to the project directory. Is there some movement of files that I need to do to get the command line 'xst' to instantiate the generated core with initialization? |
|
|
|
|
只有小组成员才能发言,加入小组>>
3118 浏览 7 评论
3407 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2873 浏览 9 评论
3966 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
3057 浏览 15 评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
1325浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
1167浏览 1评论
/9
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-12-1 19:50 , Processed in 0.773725 second(s), Total 84, Slave 67 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191

淘帖
1710
