FPGA|CPLD|ASIC论坛
直播中

hahahahhhhh

2年用户 15经验值
擅长:测量仪表
私信 关注
[问答]

Error (10500): VHDL syntax error at 1.vhd(48) near text ":"; expecting ";" 标红处怎么修改?

counter0pro:process(reset,clk)
begin
if reset='1'then
counter0<=x"00";
elsif clk'event and clk='1'then
if counterO=x"09"then
counter0<=x"00";
else
counter0<=counter0+1;
end if;
end if;
end process;


已退回5积分

回帖(1)

卿小小_9e6

2022-5-11 09:59:13
//------语法问题。
模块例化需要在标红处的结尾添加分号“;”   。
2 举报

更多回帖

发帖
×
20
完善资料,
赚取积分