完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨!!
我做了以下程序.. -------------------------------------------------- ----------------------------------公司: - 工程师: - - 创建日期:10:59 :26 07/13/2010 - 设计名称: - 模块名称:arraystore - Behavioral - 项目名称: - 目标设备: - 工具版本: - 描述:----依赖关系:----修订版 : - 修订版0.01 - 文件创建 - 附加评论:------------------------------------- ----------------------------------------------- library IEEE; 使用IEEE.STD_LOGIC_1164.ALL; library std;使用std.textio.all;使用std.textio; - 如果使用带有符号或无符号值的算术函数,则取消注释以下库声明 - 使用IEEE.NUMERIC_STD.ALL; - - 如果在此代码中实例化任何Xilinx原语,则取消注释以下库声明.--库UNISIM; - 使用UNISIM.VComponents.all;实体数组存储isend数组存储;架构数据存储行为istype transform_array是数组(1到8,1) 到8)真实;变量transform1,transform2:transform_array;类型tr ansform_file是real的文件;文件initial_transform:transform_fileopen read_mode是“c: write.txt”; beginprocedure read_transform(file f:transform_file; 变换变换:out transform_array)i***eginfor i in transform'range(1)loopfor j in transform'range(2)loopif endfile(f)thenreport“read_transform中文件意外结束 - ”& “一些数组元素未读”严重错误;返回;结束if;读(f,transform(i,j));结束循环;结束循环;结束程序read_transform;结束行为; 但是会出现以下错误 错误:HDLCompiler:806 - “C: gopal mainproject arraystore.vhd”第47行:“procedure”附近的语法错误.ERROR:HDLCompiler:806 - “C: gopal mainproject arraystore.vhd”第52行:语法 “loop”附近的错误.ERROR:HDLCompiler:806 - “C: gopal mainproject arraystore.vhd”第53行:“loop”附近的语法错误.ERROR:HDLCompiler:806 - “C: gopal mainproject arraystore。 vhd“第54行:语法错误接近”然后“.ERROR:HDLCompiler:841 - ”C: gopal mainproject arraystore.vhd“第56行:期望类型为.ERROR:HDLCompiler:69 - ”C: gopal mainproject arraystore.vhd“第59行:未声明.ERROR:HDLCompiler:806 - ”C: gopal mainproject arraystore.vhd“第60行:”loop“附近的语法错误.ERROR:HDLCompiler:69 - ”C: gopal mainproject arraystore.vhd“第62行:未声明.ERROR:HDLCompiler:854 - ”C: gopal mainproject arraystore.vhd“第37行:由于先前的错误而忽略了单位。 请等一下。 以上来自于谷歌翻译 以下为原文 hi !! i have made the following program .. ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:59:26 07/13/2010 -- Design Name: -- Module Name: arraystore - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library std; use std.textio.all; use std.textio; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity arraystore is end arraystore; architecture Behavioral of arraystore is type transform_array is array (1 to 8,1 to 8) of real; variable transform1,transform2 : transform_array; type transform_file is file of real; file initial_transform : transform_file open read_mode is "c:write.txt"; begin procedure read_transform ( file f : transform_file ; variable transform : out transform_array ) is begin for i in transform'range(1) loop for j in transform'range(2) loop if endfile (f) then report "unexpected end of file in read_transform - " & "some array elements not read" severity error; return; end if; read ( f, transform (i, j) ); end loop; end loop; end procedure read_transform; end Behavioral; but the following errors are coming ERROR:HDLCompiler:806 - "C:gopalmainprojectarraystore.vhd" Line 47: Syntax error near "procedure". ERROR:HDLCompiler:806 - "C:gopalmainprojectarraystore.vhd" Line 52: Syntax error near "loop". ERROR:HDLCompiler:806 - "C:gopalmainprojectarraystore.vhd" Line 53: Syntax error near "loop". ERROR:HDLCompiler:806 - "C:gopalmainprojectarraystore.vhd" Line 54: Syntax error near "then". ERROR:HDLCompiler:841 - "C:gopalmainprojectarraystore.vhd" Line 56: Expecting type void for ERROR:HDLCompiler:69 - "C:gopalmainprojectarraystore.vhd" Line 59: ERROR:HDLCompiler:806 - "C:gopalmainprojectarraystore.vhd" Line 60: Syntax error near "loop". ERROR:HDLCompiler:69 - "C:gopalmainprojectarraystore.vhd" Line 62: ERROR:HDLCompiler:854 - "C:gopalmainprojectarraystore.vhd" Line 37: Unit pls hlp. |
|
相关推荐
2个回答
|
|
1.您不能在体系结构级别声明变量。
共享变量可以是。 你有两次“使用”d'tendtio'。 一次是正确的次数。 程序定义必须在'begin'之前。修复那些并查看剩下的消息。我可以诚恳地建议你买一本关于VHDL的书并研究它吗? ------------------------------------------“如果它不起作用 模拟,它不会在板上工作。“ 以上来自于谷歌翻译 以下为原文 1. You cannot have have variables declared at the architecture level. Shared variables can be. 2. You have "use"d 'textio' twice. Once is the correct number of times. 3. Procedure definitions must before the 'begin'. Fix those and see what messages remain. May I cordially suggest that you buy a book on VHDL and study it? ------------------------------------------ "If it don't work in simulation, it won't work on the board." |
|
|
|
除此之外,
为什么你需要从文件中读取? 如果你想进入BRAM,有xiinx utils, 如果它是测试向量,你可能会在模拟器中耗尽内存,并且因为所有读取都是在枚举时执行的,所以会运行得很慢。 只是说可能有另一种方式 以上来自于谷歌翻译 以下为原文 apart from the above, why do you need to read from the file ? if you want to get into the BRAM, there are xiinx utils for this, if it's for test vectors, you might well run out of memory in the simulator, and things will run slow as all reads are performed at enumeration time. just saying there might be another way |
|
|
|
只有小组成员才能发言,加入小组>>
2389 浏览 7 评论
2804 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2270 浏览 9 评论
3338 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2440 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
768浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
551浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
388浏览 1评论
1975浏览 0评论
692浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-30 18:18 , Processed in 1.379461 second(s), Total 81, Slave 64 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号