完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
Error (10500): VHDL syntax error at teltcl.vhd(5) near text "Â"; expecting "entity", or "architecture", or "use", or "library", or "package", or "configuration"
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY teltcl is port( Clk1 : in std_logic; --时钟输入50Mhz TSTEN : out std_logic; --允许计数 clr1 : out std_logic; --计数器清零信号产生 --load : out std_logic); --锁存、显示输出允许 CLK5 : OUT STD_LOGIC ); end teltcl; architecture behave of teltcl is signal clk1hz :std_logic;--1HZ时钟信号 signal count :std_logic_vector(2 downto 0);--6秒计数 signal clr2 :std_logic;--清零信号 signal ena2 :std_logic;--允许计数信号 --signal load1 :std_logic;--允许计数信号 signal CLK6 :STD_LOGIC; begin process(clk1) --50MHZ信号产生 variable cnttemp : INTEGER RANGE 0 TO 999999; begin IF clk1='1' AND clk1'event THEN IF cnttemp=999999 THEN cnttemp:=0; ELSIF cnttemp<500000 THEN clk1hz<='1'; ELSE clk1hz<='0'; END IF; cnttemp:=cnttemp+1; END IF; end if; end process; process(Clk1hz)--6秒计数 begin if(Clk1hz'event and Clk1hz='1') then count<=count+1; if count<6 then ena2<='1';clr2<='0'; elsif count=6 then ena2<='0';clr2<='0'; elsif count=7 then ena2<='0';clr2<='1';CLK6<='1'; end if; end if; tsten<=ena1;;clr1<=clr2;CLK5<=CLK6; end process; end behave; |
|
相关推荐
1个回答
|
|
基本语法错误太多了
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1403 浏览 1 评论
助力AIoT应用:在米尔FPGA开发板上实现Tiny YOLO V4
1053 浏览 0 评论
2474 浏览 1 评论
2177 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
2445 浏览 0 评论
1908 浏览 50 评论
6019 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-24 12:35 , Processed in 0.573945 second(s), Total 74, Slave 57 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号