FPGA|CPLD|ASIC论坛
直播中

许璞凡

3年用户 4经验值
擅长:可编程逻辑 嵌入式技术
私信 关注
[问答]

IP definition not found for VLNV: xilinx.com:ip:axi_vdma:6.2 ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.要如何解决呢


在Xilinx ZYNQ平台上对HDMI进行测试,参考ADI的官方Demo。
系统编译时报错


ERROR: [BD 5-390] IP definition not found for VLNV: xilinx.com:ip:axi_vdma:6.2
ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.


    while executing
"create_bd_cell -type ip -vlnv xilinx.com:ip:axi_hdmi_tx_vdma:6.2 axi_hdmi_dma"
    invoked from within
"set axi_hdmi_dma [create_bd_cell -type ip -vlnv xilinx.com:ip:axi_vdma:6.2 axi_hdmi_dma]      "
    (file "../../../projects/common/zed/zed_system_bd.tcl" line 121)


    while executing
"source $ad_hdl_dir/projects/common/zed/zed_system_bd.tcl"
    (file "system_bd.tcl" line 2)


    while executing
"source system_bd.tcl"
    (procedure "adi_project_create" line 97)
    invoked from within
"adi_project_create adv7511_zed"
    (file "./system_project.tcl" line 8)


要怎么解决呢??

回帖(1)

卿小小_9e6

2020-10-9 08:41:21
错误信息显示很多,但是实际卡壳的情况是tcl指令执行问题。
//------错误产生如下:
step-1. file "../../../projects/common/zed/zed_system_bd.tcl" line 121卡壳;
step-2. 其他tcl指令无法继续执行而终止。
//------常见原因如下(不分先后):
01. License问题。vivado针对其中部分IP需要单独购买/官网申请免费试用License。
02. Vivado版本与tcl指定的Vivado版本不同,其内部的IP版本不同。
03. 部分IP缺失/存放目录更改/存放名称更改

//------
方便的话把tcl下载链接分享一下。
举报

更多回帖

发帖
×
20
完善资料,
赚取积分