赛灵思
直播中

刘佳

7年用户 156经验值
私信 关注
[问答]

为什么寄存器只能路由到ILOGIC,IODELAY和IOB

在映射阶段,我得到以下关于数据总线上每个位的三态控制的错误 -
错误:打包:2531  - 双数据速率寄存器“ddr_ab / grp5_cell”无法根据需要加入“OLOGIC2”组件。
寄存器符号ddr_ab / grp5_cell的输出信号需要一般路由到结构,但寄存器只能路由到ILOGIC,IODELAY和IOB。
下面列出的是我的三态控制代码示例
ODDR2 grp5_cell(.Q(ddr_sram_ab_rdata_group5_oel),. C0(sram_clk),. C1(sram_clkinv),. CE(1'b1),. D0(sram_rdata_group5_oel),. D1(sram_rdata_group5_oel),. R(1'b0),.
S(1'b0));
IOBUF_LVCMOS25 sram_ab_data0_pad(.O(ddr_sram_ab_rdata [0]),. O(sram_ab_data [0]),. I(ddr_sram_ab_wdata [0]),. T(ddr_sram_ab_rdata_group5_oel));
我已经阅读了类似于我的消息,但没有看到有关三态控制的信息。

以上来自于谷歌翻译


以下为原文

During the map phase I am getting the following error pertaining to the tri-state control for each of the bits on my data bus -

ERROR:Pack:2531 - The dual data rate register "ddr_ab/grp5_cell" failed to join
the "OLOGIC2" component as required. The output signal for register symbol
ddr_ab/grp5_cell requires general routing to fabric, but the register can
only be routed to ILOGIC, IODELAY, and IOB.

Listed below is a sample of my code for the tri-state control

ODDR2 grp5_cell (.Q(ddr_sram_ab_rdata_group5_oel),
.C0(sram_clk),
.C1(sram_clkinv),
.CE(1'b1),
.D0(sram_rdata_group5_oel),
.D1(sram_rdata_group5_oel),
.R(1'b0),
.S(1'b0)
);
IOBUF_LVCMOS25 sram_ab_data0_pad (.O(ddr_sram_ab_rdata[0]),
.IO(sram_ab_data[0]),
.I(ddr_sram_ab_wdata[0]),
.T(ddr_sram_ab_rdata_group5_oel));

I have read the messages similar to mine but have not seen on concerning the tri-state control.


回帖(3)

姜春阳

2019-7-22 12:19:02
问题已经解决。
我的同事向我指出,数据位的每个ODDR都需要ODDR驱动每个位的三态控制。
一旦做出这种改变,合成就会出现问题。
在原帖中查看解决方案

以上来自于谷歌翻译


以下为原文

The issue has been resolved.  I co-worker pointed out to me that each ODDR for the data bits needed an ODDR driving the tri-state control for each bit.  Once this change was made the synthesis proceeded with problems.
View solution in original post
举报

石俊梅

2019-7-22 12:25:32
嗨,
你能打开合成设计(技术原理图)并检查单元“ddr_ab / grp5_cell”输出是如何连接的吗?
您需要确保此DDR的输出单独驱动IOBUF并且不会循环到结构中。
谢谢,
迪皮卡。
谢谢,迪皮卡.----------------------------------------------
---------------------------------------------- Google之前的问题
张贴。
如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。
如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星)

以上来自于谷歌翻译


以下为原文

Hi,
 
Can you open synthesized design (technology schematic) and check how the cell "ddr_ab/grp5_cell" output is connected? You need to ensure that the output of this DDR drives the IOBUF alone and is not looped in to fabric.
 
Thanks,
Deepika.
Thanks,
Deepika.
--------------------------------------------------------------------------------------------
Google your question before posting. If someone's post answers your question, mark the post as answer with "Accept as solution". If you see a particularly good and informative post, consider giving it Kudos (the star on the left)
举报

姜春阳

2019-7-22 12:41:29
问题已经解决。
我的同事向我指出,数据位的每个ODDR都需要ODDR驱动每个位的三态控制。
一旦做出这种改变,合成就会出现问题。

以上来自于谷歌翻译


以下为原文

The issue has been resolved.  I co-worker pointed out to me that each ODDR for the data bits needed an ODDR driving the tri-state control for each bit.  Once this change was made the synthesis proceeded with problems.
举报

更多回帖

发帖
×
20
完善资料,
赚取积分