完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
嗨,我正在尝试配置VC709连接套件上的SFP接口。
由于接口需要差分输出,因此我使用了OBUFDS宏。 我一直试图通过接口建立至少一些基本通信。verilog代码和XDC文件如下:module sub_module_out(输入gpio_sw,输出txp_buf,输出txn_buf); OBUFDS#(。IOSTANDARD(“DIFF_HSTL_II_18”),。SLEW( “SLOW”))OBUFDS_inst(.O(txp_buf),. OB(txn_buf),. I(gpio_sw)); endmodule xdc:set_property PACKAGE_PIN AV30 [get_ports gpio_sw] set_property PACKAGE_PIN AP4 [get_ports txp_buf] set_property PACKAGE_PIN AP3 [get_ports txn_buf] 我在流程的不同阶段得到以下警告和错误:综合[Vivado 12-1411]无法设置端口的LOC属性,无法扩展宏实例OBUFDS_inst [“/ opt / Xilinx / Vivado / 2014 / project_optotesting / project_optotesting.srcs /constrs_1/imports/new/pin_config.xdc":2] 实现:[Vivado 12-1411]无法设置端口的LOC属性,无法扩展宏实例OBUFDS_inst [“/opt/Xilinx/Vivado/2014.4/project_optotesting/project_optotesting.srcs/constrs_1/imports/new/pin_config.xdc":2] Bitsream生成:[Drc 23-20]规则违规(NSTD-1)未指定的I / O标准 - 3个逻辑端口中的3个使用I / O标准(IOSTANDARD)值'DEFAULT',而不是用户指定的特定值。 这可能导致I / O争用或与电路板电源或连接不兼容,从而影响性能,信号完整性或在极端情况下导致设备或其所连接的组件受损。 要更正此违规,请指定所有I / O标准。 除非所有逻辑端口都定义了用户指定的I / O标准值,否则此设计将无法生成比特流。 要允许使用未指定的I / O标准值创建比特流(不推荐),请使用以下命令:set_property SEVERITY {Warning} [get_drc_checks NSTD-1]。 注意:使用Vivado运行基础结构(例如,launch_runs Tcl命令)时,将此命令添加到.tcl文件,并将该文件添加为执行运行的write_bitstream步骤的预挂钩。 问题端口:gpio_sw,txp_buf,txn_buf。[Drc 23-20]规则违规(UCIO-1)无约束逻辑端口 - 3个逻辑端口中的2个没有用户分配特定位置约束(LOC)。 这可能导致I / O争用或与电路板电源或连接不兼容,从而影响性能,信号完整性或在极端情况下导致设备或其所连接的组件受损。 要更正此违规,请指定所有引脚位置。 除非所有逻辑端口都定义了用户指定的站点LOC约束,否则此设计将无法生成比特流。 要允许使用未指定的引脚位置创建比特流(不推荐),请使用以下命令:set_property SEVERITY {Warning} [get_drc_checks UCIO-1]。 注意:使用Vivado运行基础结构(例如,launch_runs Tcl命令)时,将此命令添加到.tcl文件,并将该文件添加为执行运行的write_bitstream步骤的预挂钩。 问题端口:txp_buf,txn_buf。 任何人都可以指出什么是错误或建议任何替代实施 |
|
相关推荐
4个回答
|
|
在XDC文件中添加IOSTANDARD,并确保选择了adifferential pair fortxn_buf和txp_buf。
|
|
|
|
嗨bs903666,
感谢您的建议。 我为引脚添加了IOSTANDARD但是我遇到了同样的错误。 txp_buf,txn_buf在I / O Planning窗口中显示为Diff对。 set_property PACKAGE_PIN AV30 [get_ports gpio_sw] set_property PACKAGE_PIN AP4 [get_ports txp_buf] set_property PACKAGE_PIN AP3 [get_ports txn_buf] set_property IOSTANDARD LVCMOS18 [get_ports gpio_sw] set_property IOSTANDARD DIFF_SSTL18_II_DCI [get_ports txp_buf] set_property IOSTANDARD DIFF_SSTL18_II_DCI [get_ports txn_buf] [Vivado 12-1411]无法设置端口的LOC属性,无法扩展宏实例OBUFDS_inst [“/opt/Xilinx/Vivado/2014.4/project_optotesting/project_optotesting.srcs/constrs_1/imports/new/pin_config.xdc":2] 从我能理解的错误, >如何设置端口的LOC属性? > Isnt OBUFDS是Xilinx中的内置宏,那么为什么它无法扩展实例? |
|
|
|
您似乎在宏实例化时使用了DIFF_HSTL_II_18,在XDC文件中使用了DIFF_SSTL18_II_DCI。
您可以从XDC或IO规划向导设置LOC。 是的,OBUFDS是一个内置宏,无需添加任何额外的库文件即可在设计中使用它们。 |
|
|
|
我在如下的verilog和XDC文件中使用了相同的IO标准,但错误是相同的。
module sub_module_out(输入gpio_sw,输出txp_buf,输出txn_buf); OBUFDS#(。IOSTANDARD(“DIFF_SSTL18_II_DCI”),. SLEW(“SLOW”))OBUFDS_inst(.O(txp_buf),. OB(txn_buf),. I(gpio_sw)); endmodule XDC: set_property PACKAGE_PIN AV30 [get_ports gpio_sw] set_property PACKAGE_PIN AP4 [get_ports txp_buf] set_property PACKAGE_PIN AP3 [get_ports txn_buf] set_property IOSTANDARD LVCMOS18 [get_ports gpio_sw] set_property IOSTANDARD DIFF_SSTL18_II_DCI [get_ports txp_buf] set_property IOSTANDARD DIFF_SSTL18_II_DCI [get_ports txn_buf] >还有其他原因导致宏未被识别吗? >我应该使用UCF文件而不是XDC吗? LOC属性存在于UCF中,而不存在于XDC中。 这会解决有关引脚LOC的错误吗? [Vivado 12-1411]无法设置端口的LOC属性,无法扩展宏实例OBUFDS_inst [“/opt/Xilinx/Vivado/2014.4/project_optotesting/project_optotesting.srcs/constrs_1/imports/new/pin_config.xdc":2] |
|
|
|
只有小组成员才能发言,加入小组>>
2415 浏览 7 评论
2821 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2292 浏览 9 评论
3372 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2458 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
1080浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
579浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
440浏览 1评论
2000浏览 0评论
723浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-20 09:26 , Processed in 1.483212 second(s), Total 84, Slave 68 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号