完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,大家好,
我正在使用VC 707开发板,我创建了一个10G基础PCS PMA内核,现在我想检查回路。但是在ug885_VC 707(用户手动)中共有5个时钟源页面没有28 1> SYSCLK_N SYSCLK_P 2> USER_CLOCK_N USER_CLOCK_P 3> SMA_MGT_REFCLK_N SMA_MGT_REFCLK_P 4> USER_SMA_CLOCK_N USER_SMA_CLOCK_P 5> Si5324_OUT_N Si5324_OUT_P SFP +连接在收发器组113和内部收发器组113中,有两个时钟源SGMII_CLK和SMA_MGT_REFCLK 现在我尝试每个时钟源,但时钟不工作。 哪个时钟源可用于SFP +和pcs pma核心,我不明白 任何人都可以告诉我,我该怎么办,请帮助我 我的XCF文件是: set_property PACKAGE_PIN AM4 [get_ports txp] set_property PACKAGE_PIN AM3 [get_ports txn] set_property PACKAGE_PIN AL6 [get_ports rxp] set_property PACKAGE_PIN AL5 [get_ports rxn] set_property PACKAGE_PIN AP33 [get_ports tx_disable] set_property IOSTANDARD LVCMOS18 [get_ports tx_disable] set_property PACKAGE_PIN AV40 [get_ports reset] set_property IOSTANDARD LVCMOS18 [get_ports reset] #set_property PACKAGE_PIN E19 [get_ports refclk_p] #set_property PACKAGE_PIN E18 [get_ports refclk_n] #set_property PACKAGE_PIN AK34 [get_ports refclk_p] #set_property PACKAGE_PIN AL34 [get_ports refclk_n] set_property PACKAGE_PIN AK8 [get_ports refclk_p] set_property PACKAGE_PIN AK7 [get_ports refclk_n] #set_property PACKAGE_PIN AJ32 [get_ports refclk_p] #set_property PACKAGE_PIN AK32 [get_ports refclk_n] #set_property PACKAGE_PIN AD8 [get_ports refclk_p] #set_property PACKAGE_PIN AD7 [get_ports refclk_n] #set_property PACKAGE_PIN AH7 [get_ports Srefclk_n] #set_property PACKAGE_PIN AN2 [get_ports refclk_p] 谢谢! |
|
相关推荐
9个回答
|
|
你好
看看下面的链接 http://forums.xilinx.com/t5/Connectivity/10-Gigabit-Ethernet-clocking-on-KC-705-VC-707/td-p/408019 问候,萨蒂什----------------------------------------------- --- --------------------------------------------请注意 - 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用的帖子。感谢.-- ---------------------------- --------------------- ---------------------- 在原帖中查看解决方案 |
|
|
|
你好
看看下面的链接 http://forums.xilinx.com/t5/Connectivity/10-Gigabit-Ethernet-clocking-on-KC-705-VC-707/td-p/408019 问候,萨蒂什----------------------------------------------- --- --------------------------------------------请注意 - 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用的帖子。感谢.-- ---------------------------- --------------------- ---------------------- |
|
|
|
|
|
|
|
嗨Varun,
检查约束中指定的层次结构是否正确,并通过打开合成设计找到时钟引脚是否可以找到。 此外,由于这是一个单独的问题,请创建一个单独的线程。 问候,萨蒂什----------------------------------------------- --- --------------------------------------------请注意 - 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用的帖子。感谢.-- ---------------------------- --------------------- ---------------------- |
|
|
|
hiiiSatish
实体teng_mac_top isport(refclk_p:在STD_LOGIC; --- coneected toUSER_CLOCK_P refclk_n:在STD_LOGIC; ---连接toUSER_CLOCK_N pcs_clk_p:在STD_LOGIC; --connected到Si5324_OUT_P pcs_clk_n:在STD_LOGIC; - 连接toSi5324_OUT_N clk_out_p:出STD_LOGIC; - 连接到REF时钟forSi5324 clk_in_p clk_out_n:出STD_LOGIC; --connected为ref时钟forSi5324 clk_in_n复位:在STD_LOGIC; TXP:出STD_LOGIC; TXN:出STD_LOGIC; RXP:在STD_LOGIC; RXN:在STD_LOGIC; GPIO:出std_logic_vector(7 downto 0); tx_disable:out std_logic); end teng_mac_top; 我的xdc文件是 set_property PACKAGE_PIN AM4 [get_ports TXP] set_property PACKAGE_PIN AL6 [get_ports RXP] set_property PACKAGE_PIN AM3 [get_ports TXN] set_property PACKAGE_PIN AL5 [get_ports RXN] set_property PACKAGE_PIN AP33 [get_ports tx_disable] set_property IOSTANDARD LVCMOS18 [get_ports tx_disable] set_property PACKAGE_PIN AV40 [get_ports reset] set_property IOSTANDARD LVCMOS18 [get_ports reset] set_property PACKAGE_PIN AW32 [get_ports clk_out_p] set_property IOSTANDARD LVCMOS18 [get_ports clk_out_p] set_property PACKAGE_PIN AW33 [get_ports clk_out_n] set_property IOSTANDARD LVCMOS18 [get_ports clk_out_n] set_property PACKAGE_PIN AK34 [get_ports refclk_p] set_property IOSTANDARD LVDS [get_ports refclk_p] set_property PACKAGE_PIN AL34 [get_ports refclk_n] set_property IOSTANDARD LVDS [get_ports refclk_n] set_property PACKAGE_PIN AD7 [get_ports pcs_clk_n] set_property PACKAGE_PIN AD8 [get_ports pcs_clk_p] 但仍然没有生成core_156out时钟,并且resetdone不会变高 请帮帮我 问候 VARUN |
|
|
|
必须首先对SI5324进行编程,然后才能输出时钟。
你在设计中这样做了吗? ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com |
|
|
|
|
|
|
|
VC707参考设计页面提供了一个用于对时钟器件进行编程的示例设计。
------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com |
|
|
|
|
|
|
|
只有小组成员才能发言,加入小组>>
2378 浏览 7 评论
2793 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2260 浏览 9 评论
3334 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2426 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
751浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
538浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
361浏览 1评论
1955浏览 0评论
678浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-20 18:39 , Processed in 1.644414 second(s), Total 90, Slave 74 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号