完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
您好,我正在使用Virtex 5 XC5VLX50T产品,试图合成这个简单的代码:
库ieee;使用ieee.std_logic_1164.all;使用ieee.numeric_std.all; entity top是Port(clk:in std_logic; rst:in std_logic; led:out std_logic_vector(7 downto 0); sw:in std_logic_vector(7 downto 0)); end top; 架构top的行为是信号temp:unsigned(26 downto 0):=(其他=>'0');开始 如果clk'event和clk ='1'那么led(0)led(7 downto 1)进程(clk)开始,如果sw(1)='1'则temp结束if; 万一; 结束过程; 结束行为; 我在ucf文件中连接了LED和开关: #LED PINSNET led(0)LOC =“AG8”; #| IOSTANDARD = LVCMOS25; #Bank 3,Vcco = 2.5V,无DCINET led(1)LOC =“AH8”; #| IOSTANDARD = LVCMOS25; #Bank 3,Vcco = 2.5V,无DCINET led(2)LOC =“AH9”; #| IOSTANDARD = LVCMOS25; #Bank 3,Vcco = 2.5V,无DCINET led(3)LOC =“AG10”; #| IOSTANDARD = LVCMOS18; #LVDCI_18; #Bank 21,Vcco = 1.8V,DCI使用49.9欧姆电阻器NET(4)LOC =“AH10”; #| IOSTANDARD = LVCMOS25; #Bank 3,Vcco = 2.5V,无DCINET led(5)LOC =“AG11”; #| IOSTANDARD = LVCMOS18; #LVDCI_18; #Bank 21,Vcco = 1.8V,DCI使用49.9欧姆电阻器NET(6)LOC =“AF11”; #| IOSTANDARD = LVCMOS18; #LVDCI_18; #Bank 21,Vcco = 1.8V,DCI使用49.9欧姆电阻器NET(7)LOC =“AE11”; #| IOSTANDARD = LVCMOS18; #LVDCI_18; #Bank 21,Vcco = 1.8V,DCI使用49.9欧姆电阻 #onBoard SWITCHESNET sw(0)LOC =“J19”; #Bank = 3,引脚名称= IO_L3N_GC_3,Sch名称= SW0NET sw(1)LOC =“L18”; #Bank = 3,引脚名称= IO_L1N_CC_GC_3,Sch名称= SW1NET sw(2)LOC =“K18”; #Bank = 3,引脚名称= IO_L3P_GC_3,Sch名称= SW2NET sw(3)LOC =“H18”; #Bank = 3,引脚名称= IO_L0N_CC_GC_3,Sch名称= SW3NET sw(4)LOC =“H17”; #Bank = 3,引脚名称= IO_L0P_CC_GC_3,Sch名称= SW4NET sw(5)LOC =“K17”; #Bank = 3,引脚名称= IO_L1P_CC_GC_3,Sch名称= SW5NET sw(6)LOC =“G16”; #Bank = 3,引脚名称= IO_L2N_GC_VRP_3,Sch名称= SW6NET sw(7)LOC =“G15”; #Bank = 3,引脚名称= IO_L2P_GC_VRN_3,Sch name = SW7 但经过综合和测试,它显示出奇怪的行为。 led在转换期间有时会发生变化,有时候它们会被冻结。 |
|
相关推荐
3个回答
|
|
@***aramidze你需要去掉你的开关输出以便可靠运行。
由于它们是机械元件,因此在查看5/10 ns周期时,开关的行为非常有趣/奇怪。 - 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用且回复的帖子。 |
|
|
|
看起来SW1应该只是启动或停止计数序列。
如果SW1为高电平,我希望LED可以计算过渡时是否有反弹。 如果SW1为低电平,则LED应保持其当前状态,而不管转换时的跳动。 你是说当SW1很高时,计数器有时会锁定? - Gabor |
|
|
|
@gszakacsyou有一点意见。
我想知道开关是什么样的,时钟的速度是多少。 有趣的是,交换机转换会导致更改。 这是devkit吗? 也许需要审查原理图。 - 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用且回复的帖子。 |
|
|
|
只有小组成员才能发言,加入小组>>
2416 浏览 7 评论
2821 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2292 浏览 9 评论
3372 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2459 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
1150浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
582浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
448浏览 1评论
2003浏览 0评论
727浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-22 21:23 , Processed in 1.078956 second(s), Total 51, Slave 44 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号