完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,
所以我遇到了通过同轴电缆(50MHz时钟)创建简单时钟输出的问题; 我使用的是Picozed 7030 FMC Carrier卡V2。 这是我的块设计: 我设置我的设计约束如下(Pins.xdc): create_clock -period 20.000 -name clock -add [get_ports clk_out] set_property IOSTANDARD LVCMOS33 [get_ports clk_out] set_property PACKAGE_PIN Y4 [get_ports clk_out] 我不断得到IOSTANDARD未设置的错误或我没有用户特定的位置约束(LOC)。 我试过用Linux取代包装针但没有运气。 出于我可能出错的想法,我尝试了其他单端I / O标准(LVTTL,GTL)甚至是差分标准,但Iconstantly无法生成比特流。 如果你可以请我指向我在错误设计或xdc中出错的地方,我将不胜感激! 先谢谢你! 最好的祝福, Mageo17 |
|
相关推荐
4个回答
|
|
你好@ mageo17
您是否确保连接到端口clk_out的引脚是具有时钟功能的引脚? 问候 罗希特 -------------------------------------------------- --------------------------------------------请注意 - 请注明 如果提供的信息有用,请回答“接受为解决方案”。 将Kudos发送给您认为有用且回复的帖子.------------------------------------ -------------------------------------------------- -------- RegardsRohit ------------------------------------------------- ---------------------------------------------请注意 - 请注明 答案为“接受为解决方案”,如果提供的信息是有帮助的。给予您认为有用并回复导向的帖子。感谢K-- -------------------------------------------------- ---------------------- |
|
|
|
嗨@ thakurr我不确定它是否是一个支持时钟的引脚,在看完你的帖子后我看了ug472_7Series_Clocking,发现我只能在P侧输出一个单端时钟,所以我把引脚切换到TX_P J3上了
Picozed FMC Carrier Card V2,否则无法确定它是否具有时钟功能。 是否有图表或某些东西,我可以检查它是否有时钟功能?在我运行合成后,它没有分配我放入xdc的引脚然后选择我从引脚选择I / 合成设计的O端口只是FMC或PMOD引脚的端口。您怎么看? 谢谢,Mageo |
|
|
|
你好@ mageo17
您使用哪种评估板? 如果您使用的是ZC706评估板,请参阅以下文档,附录C,了解您所查看的信息: https://www.xilinx.com/support/documentation/boards_and_kits/zc706/ug954-zc706-eval-board-xc7z045-ap-soc.pdf 希望这可以帮助。 问候 罗希特 -------------------------------------------------- --------------------------------------------请注意 - 请注明 如果提供的信息有用,请回答“接受为解决方案”。 将Kudos发送给您认为有用且回复的帖子.------------------------------------ -------------------------------------------------- -------- RegardsRohit ------------------------------------------------- ---------------------------------------------请注意 - 请注明 答案为“接受为解决方案”,如果提供的信息是有帮助的。给予您认为有用并回复导向的帖子。感谢K-- -------------------------------------------------- ---------------------- |
|
|
|
嗨@@ thakurr
我正在使用Picozed 7030板,带有FMC载波卡v2,我试图通过引脚J3(P侧)输出时钟信号。 到目前为止,我从阅读FMC载卡v2用户指南chp中找到了什么。 2.4.1。 它提到:“通道Q3输出 - 在SOM Bank 13 MRCC引脚(PL_CLK JX3.73)上从1 MHz到200 MHz的单端输出”,所以我将输出时钟分配给该引脚,因为我无法将其直接分配给 J3由于上述错误,是否转移到J3如何从该引脚输出时钟? 我仍然没有得到时钟输出,从我读过的所有其他讨论中输出这个信号并不困难。 有谁知道一个很好的教程或知道我缺少什么。 先谢谢你! 最好的祝福, Mageo17 |
|
|
|
只有小组成员才能发言,加入小组>>
2378 浏览 7 评论
2793 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2260 浏览 9 评论
3334 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2426 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
753浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
540浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
363浏览 1评论
1957浏览 0评论
680浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-21 00:15 , Processed in 1.381000 second(s), Total 84, Slave 68 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号