完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
我们如何理解输出的设置和保持时间。
是偏移约束和set_output_delay相关。 create_clock -period 2.500 -name fast_clk -waveform {0.000 1.250} [get_ports fclk] set_output_delay -clock [get_clocks fast_clk] 2.500 [get_ports {OUTMM OUTPP}] 什么应该是理想的输出延迟0 / 2.5 在快速拍摄视频中,他们告诉我们如果一个时钟是10ns并且延迟是6ns那么你将获得10-6 = 4ns的输出 |
|
相关推荐
3个回答
|
|
嗨,
>>我们如何理解output.set_output_delay约束的设置和保持时间本质上是为了满足FPGA外部同步元素的建立和保持时间。 >>是偏移约束和set_output_delay相关。 是。 ISE中的OFFSET_OUT约束等同于vivado中的set_output_delay。 只有一个小区别是 OFFSET_OUT约束后(在ISE中)指定FPGA的输出寄存器和输出端口之间的必要延迟,其中as set_output_delay指定FPGA的输出端口与FPGA外部的同步元件的输入之间的延迟。 因此,如果时钟周期为10 ns且set_output_delay为6 ns。 这些工具将尝试在输出寄存器和输出端口之间实现4ns的延迟。 如何编写set_output_delay约束:如果您知道板上(FPGA外部)同步元素的建立和保持要求,则set_output_delay值应考虑电路板传播延迟。 set_output_delay -max应该是外部设备所需的设置时间加上最长的电路板延迟。 set_output_delay -min应该是外部设备所需保持时间加上最短板延迟的负数。 有关更多信息,请参阅Vivado用户约束指南第92页,http://www.xilinx.com/support/documentation/sw_manuals/xilinx2015_1/ug903-vivado-using-constraints.pdf ThanksBharath ------------------------------------------------- - --------------------------------------------请标记答案 如果提供的信息可以解决您的疑问/问题,请“接受为解决方案”。给予您认为有用的帖子。感谢.------------------------ -------------------------- ----------- ------------ -------------------- 在原帖中查看解决方案 |
|
|
|
嗨,
>>我们如何理解output.set_output_delay约束的设置和保持时间本质上是为了满足FPGA外部同步元素的建立和保持时间。 >>是偏移约束和set_output_delay相关。 是。 ISE中的OFFSET_OUT约束等同于vivado中的set_output_delay。 只有一个小区别是 OFFSET_OUT约束后(在ISE中)指定FPGA的输出寄存器和输出端口之间的必要延迟,其中as set_output_delay指定FPGA的输出端口与FPGA外部的同步元件的输入之间的延迟。 因此,如果时钟周期为10 ns且set_output_delay为6 ns。 这些工具将尝试在输出寄存器和输出端口之间实现4ns的延迟。 如何编写set_output_delay约束:如果您知道板上(FPGA外部)同步元素的建立和保持要求,则set_output_delay值应考虑电路板传播延迟。 set_output_delay -max应该是外部设备所需的设置时间加上最长的电路板延迟。 set_output_delay -min应该是外部设备所需保持时间加上最短板延迟的负数。 有关更多信息,请参阅Vivado用户约束指南第92页,http://www.xilinx.com/support/documentation/sw_manuals/xilinx2015_1/ug903-vivado-using-constraints.pdf ThanksBharath ------------------------------------------------- - --------------------------------------------请标记答案 如果提供的信息可以解决您的疑问/问题,请“接受为解决方案”。给予您认为有用的帖子。感谢.------------------------ -------------------------- ----------- ------------ -------------------- |
|
|
|
@nagabhar如何估算最大和最小板延迟
|
|
|
|
只有小组成员才能发言,加入小组>>
2384 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2264 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2431 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
759浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
548浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
370浏览 1评论
1966浏览 0评论
684浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-25 15:08 , Processed in 2.610762 second(s), Total 83, Slave 66 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号