完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
我已经在xilinx 13.2 ISE中生成了FFT的核心,但是在模拟中生成问题之后我甚至没有获得输出100个循环...因为我已经编写了测试平台但是我没有得到实际的位置
错误...我在这里显示我的测试台代码... plz在这方面帮助我 库ieee;使用ieee.std_logic_1164.all;使用ieee.std_logic_unsigned.all;使用ieee.std_logic_textio.all;使用ieee.numeric_std.all;使用ieee.std_logic_arith.all; entity test_bn_fft是end test_bn_fft; plese在这方面帮助我.... test_bn_fft的架构行为是组件top_fft端口(clk1:在std_logic中; sclr1:在std_logic中; start1:在std_logic中; xn_re1:在std_logic_vector中(31 downto 0); xn_im1:在std_logic_vector中(31) downto 0); fwd_inv1:in std_logic; fwd_inv_we1:in std_logic; scale_sch1:in std_logic_vector(5 downto 0); scale_sch_we1:in std_logic; rfd1:out std_logic; xn_index1:out std_logic_vector(2 downto 0); busy1:out std_logic; edone1 :out std_logic; done1:out std_logic; dv1:out std_logic; xk_index1:out std_logic_vector(2 downto 0); xk_re1:out std_logic_vector(31 downto 0); xk_im1:out std_logic_vector(31 downto 0)); end component; --inputssignal clk:std_logic:='1';信号sclr1:std_logic:='1';信号start1:std_logic:='1';信号xn_re1:std_logic_vector(31 downto 0):=“00000000000000000000111000010101”;信号xn_im1: std_logic_vector(31 downto 0):=“00000000000000000000110000010101”; signal fwd_inv1:std_logic:='1'; signal fwd_inv_we1:std_logic:='1'; signal scale_sch1:std_logic_vector(5 downto 0):=“000011”; signal scale_sch_we1:std_logic:='1'; --outputs signal rfd1:std_logic; signal xn_index1:std_logic_vector(2 downto 0); signal busy1:std_logic; signal edone1:std_logic; signal done1:std_logic; signal dv1:std_logic; signal xk_index1:std_logic_vector(2 downto 0); signal xk_re1:std_logic_vector( 31 downto 0);信号xk_im1:std_logic_vector(31 downto 0); --clock period definitionsantststant clk_period:time:= 1000 ns; beginDUT:top_fft端口映射(clk1 => clk,sclr1 => sclr1,start1 => start1,xn_re1 => xn_re1,xn_im1 => xn_im1,fwd_inv1 => fwd_inv1,fwd_inv_we1 => fwd_inv_we1,scale_sch1 => scale_sch1,scale_sch_we1 => scale_sch_we1 ,rfd1 => rfd1,xn_index1 => xn_index1,busy1 => busy1,edone1 => edone1,done1 => done1,dv1 => dv1,xk_index1 => xk_index1,xk_re1 => xk_re1,xk_im1 => xk_im1); clk_process:进程开始clk等待10 ns; clk等待10 ns; 结束过程; main_p:进程(clk)开始sclr1 start1 fwd_inv1 fwd_inv_we1 xn_re1 xn_im1 scale_sch_we1 scale_sch1 - 等待sclr,start,fwd_inv,fwd结束进程; 最终行为; 请帮助我这方面 |
|
相关推荐
1个回答
|
|
尝试脉冲复位输入(sclr输入),而不是将其连接到常数1(始终处于复位状态!)。
sougatavlsi写道: 我已经在xilinx 13.2 ISE中生成了FFT的核心,但是在模拟中生成问题之后我甚至没有获得输出100个循环...因为我已经编写了测试平台但是我没有得到实际的位置 错误...我在这里显示我的测试台代码... plz在这方面帮助我 库ieee;使用ieee.std_logic_1164.all;使用ieee.std_logic_unsigned.all;使用ieee.std_logic_textio.all;使用ieee.numeric_std.all;使用ieee.std_logic_arith.all; entity test_bn_fft是end test_bn_fft; plese在这方面帮助我.... test_bn_fft的架构行为是组件top_fft端口(clk1:在std_logic中; sclr1:在std_logic中; start1:在std_logic中; xn_re1:在std_logic_vector中(31 downto 0); xn_im1:在std_logic_vector中(31) downto 0); fwd_inv1:in std_logic; fwd_inv_we1:in std_logic; scale_sch1:in std_logic_vector(5 downto 0); scale_sch_we1:in std_logic; rfd1:out std_logic; xn_index1:out std_logic_vector(2 downto 0); busy1:out std_logic; edone1 :out std_logic; done1:out std_logic; dv1:out std_logic; xk_index1:out std_logic_vector(2 downto 0); xk_re1:out std_logic_vector(31 downto 0); xk_im1:out std_logic_vector(31 downto 0)); end component; --inputssignal clk:std_logic:='1';信号sclr1:std_logic:='1';信号start1:std_logic:='1';信号xn_re1:std_logic_vector(31 downto 0):=“00000000000000000000111000010101”;信号xn_im1: std_logic_vector(31 downto 0):=“00000000000000000000110000010101”; signal fwd_inv1:std_logic:='1'; signal fwd_inv_we1:std_logic:='1'; signal scale_sch1:std_logic_vector(5 downto 0):=“000011”; signal scale_sch_we1:std_logic:='1'; --outputs signal rfd1:std_logic; signal xn_index1:std_logic_vector(2 downto 0); signal busy1:std_logic; signal edone1:std_logic; signal done1:std_logic; signal dv1:std_logic; signal xk_index1:std_logic_vector(2 downto 0); signal xk_re1:std_logic_vector( 31 downto 0);信号xk_im1:std_logic_vector(31 downto 0); --clock period definitionsantststant clk_period:time:= 1000 ns; beginDUT:top_fft端口映射(clk1 => clk,sclr1 => sclr1,start1 => start1,xn_re1 => xn_re1,xn_im1 => xn_im1,fwd_inv1 => fwd_inv1,fwd_inv_we1 => fwd_inv_we1,scale_sch1 => scale_sch1,scale_sch_we1 => scale_sch_we1 ,rfd1 => rfd1,xn_index1 => xn_index1,busy1 => busy1,edone1 => edone1,done1 => done1,dv1 => dv1,xk_index1 => xk_index1,xk_re1 => xk_re1,xk_im1 => xk_im1); clk_process:进程开始clk等待10 ns; clk等待10 ns; 结束过程; main_p:进程(clk)开始sclr1 start1 fwd_inv1 fwd_inv_we1 xn_re1 xn_im1 scale_sch_we1 scale_sch1 - 等待sclr,start,fwd_inv,fwd结束进程; 最终行为; 请帮助我这方面 干杯,吉姆 |
|
|
|
只有小组成员才能发言,加入小组>>
2178 浏览 7 评论
2615 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2084 浏览 9 评论
3156 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2207 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
445浏览 1评论
1539浏览 1评论
在使用xc5vsx95T时JTAG扫片不成功,测量TDO无信号输出
2183浏览 0评论
515浏览 0评论
1657浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-5-9 13:51 , Processed in 0.901055 second(s), Total 48, Slave 42 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号