完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨,
当我试图创建一个测试工作台时出现错误: 错误:HDLParsers:3014 - “C:/ Users / Panos / Downloads / udp_ip_stack-master / udp_ip_stack-master / srcs / source s_1 / imports / udp_ip_stack / common / arp.vhd”第34行。库单元arp_types在库中不可用 工作。 我检查了库“work”,我可以在那里看到arp.vhd文件。 我注意到包含外部库的所有文件都会出现此问题。 请查看附图以获得更多帮助。 先谢谢你, 帕诺斯 以上来自于谷歌翻译 以下为原文 Hi, when I am trying to create a test bench there is an error : ERROR:HDLParsers:3014 - "C:/Users/Panos/Downloads/udp_ip_stack-master/udp_ip_stack-master/srcs/source s_1/imports/udp_ip_stack/common/arp.vhd" Line 34. Library unit arp_types is not available in library work. I checked the library "work" and I can see the arp.vhd file there. I have notice that this problem happens for all the files that include an external library. Please find attached pictures for more help. Thank you in advance, Panos |
|
相关推荐
8个回答
|
|
您好,这是哪个版本的ISE?您能否使用最新版本的ISE 14.7进行试用,如果您仍然遇到任何问题,请告诉我们?
问候,阿希什----------------------------------------------- - - - - - - - - - - - - - - - - - - - - - - - -请注意- 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用且回复的帖子。感谢Kudos .-------------------- -------------------------------------------------- ------------------------ 以上来自于谷歌翻译 以下为原文 Hello, Which version of ISE is this? Can you try it with latest version ISE 14.7 and let us know if you still face any issue?Regards, Ashish ---------------------------------------------------------------------------------------------- Kindly note- Please mark the Answer as "Accept as solution" if information provided is helpful. Give Kudos to a post which you think is helpful and reply oriented. ---------------------------------------------------------------------------------------------- |
|
|
|
嗨,
我还可以在几行上看到语法错误。 可以请你清楚并检查。 谢谢, Shreyas -------------------------------------------------- --------------------------------------------尝试搜索你的答案 在发布新帖子之前在论坛或xilinx用户指南中发出问题。请注意 - 如果提供的信息解决了您的问题,请将答案标记为“接受为解决方案”。给予您认为有用的帖子给予荣誉(右边提供的星号) 并回复.---------------------------------------------- ------------------------------------------------ 以上来自于谷歌翻译 以下为原文 Hi, I can also see syntax error on few lines. can you please clear them and check. thanks, Shreyas ---------------------------------------------------------------------------------------------- Try to search answer for your issue in forums or xilinx user guides before you post a new thread. Kindly note- Please mark the Answer as "Accept as solution" if information provided solves your query. Give Kudos (star provided in right) to a post which you think is helpful and reply oriented. ---------------------------------------------------------------------------------------------- |
|
|
|
嗨,谢谢你的回答。
版本是14.5 以上来自于谷歌翻译 以下为原文 Hi, thanks for the answer. The version is the 14.5 |
|
|
|
嗨,语法错误是由测试台自动创建的......
以上来自于谷歌翻译 以下为原文 Hi, the syntax errors are automatically created by the test bench... |
|
|
|
嗨,您需要编辑测试平台以匹配您的顶级模块。谢谢,Deepika。
谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标记为“接受为解决方案”。 如果你看到一个特别好的和信息丰富的帖子,考虑给它Kudos(左边的明星) 以上来自于谷歌翻译 以下为原文 Hi, You need to edit the testbench to match your top module. Thanks, Deepika.Thanks, Deepika. -------------------------------------------------------------------------------------------- Google your question before posting. If someone's post answers your question, mark the post as answer with "Accept as solution". If you see a particularly good and informative post, consider giving it Kudos (the star on the left) |
|
|
|
嗨,我尝试了14.7版本,但没有运气......编辑测试平台是什么意思?
测试台自动创建。 我过去是为同一个项目做过的,但现在不幸的是我不能和我不明白原因.Regards,Panos 以上来自于谷歌翻译 以下为原文 Hi, I tried the 14.7 Version but no luck... What do you mean by edit testbench? The test bench is created automatically. I did it in the past for the same project but now unfortunatelly I can't and I don't understand the reason. Regards, Panos |
|
|
|
你好,你有没有参考这个AR?http://www.xilinx.com/support/answers/14030.html如果你仍然坚持同样的问题,请在这里发布你的代码
-Pratham ------------------------------------------------ ----------------------------------------------请注意 - 请 如果提供的信息有用,请将答案标记为“接受为解决方案”。给予您认为有用并回复导向的帖子。感谢K- -------------------------------------------------- ----------------------- 以上来自于谷歌翻译 以下为原文 Hello, Did you refer this AR? http://www.xilinx.com/support/answers/14030.html If you still persist same problem post your code here-Pratham ---------------------------------------------------------------------------------------------- Kindly note- Please mark the Answer as "Accept as solution" if information provided is helpful. Give Kudos to a post which you think is helpful and reply oriented. ---------------------------------------------------------------------------------------------- |
|
|
|
嗨,
谢谢你的回答。 事情变得很奇怪......要清楚,我正在使用外部vhd文件 库IEEE;使用IEEE.STD_LOGIC_1164.ALL; library unisim;使用unisim.vcomponents.all; - UDP / IP librariesuse work.axi.all;使用work.ipv4_types.all;使用work.arp_types.all; 我可以合成,实现,生成没有错误的编程文件。 但是当我尝试添加测试平台时,会出现错误: 错误:HDLCompiler:806 - “C:/Users/Panos/Desktop/udp_last/udp/rrrr_tb.vhd”第13行:“ERROR:HDLCompiler:806 - ”C:/ Users / Panos / Desktop / udp_last / udp附近的语法错误 /rrrr_tb.vhd“第22行:语法错误附近”错误:HDLCompiler:806 - “C:/Users/Panos/Desktop/udp_last/udp/rrrr_tb.vhd”第28行:“ERROR:ProjectMgmt - 3错误附近的语法错误( s)在解析设计层次结构时找到.ERROR:HDLParsers:3014 - “C:/Users/Panos/Desktop/udp_last/udp/udp.vhd”第30行。图书馆单元axi在图书馆工作中不可用。 这是奇怪的想法。 在删除并再次添加了axi.vhd文件之后,我设法为层次结构中的某些文件创建了测试平台(例如图中的IP_Complete_nomac),这也使用了axi.vhd !!!! 无论如何,我无法为顶级实体(udp)创建测试平台。 如果你想看一下,我附上了顶级vhdl文件udp.vhd。 再次感谢, 问候, 帕诺斯 udp.vhd 23 KB 以上来自于谷歌翻译 以下为原文 Hi, thanks for your answers. Things are getting strange... To be clear, I am using external vhd files library IEEE; use IEEE.STD_LOGIC_1164.ALL; library unisim; use unisim.vcomponents.all; -- UDP/IP libraries use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; I can synthesize, implement, generate programming files with no errors. But when I am trying to add the testbench there is the error: ERROR:HDLCompiler:806 - "C:/Users/Panos/Desktop/udp_last/udp/rrrr_tb.vhd" Line 13: Syntax error near "<". ERROR:HDLCompiler:806 - "C:/Users/Panos/Desktop/udp_last/udp/rrrr_tb.vhd" Line 22: Syntax error near "<". ERROR:HDLCompiler:806 - "C:/Users/Panos/Desktop/udp_last/udp/rrrr_tb.vhd" Line 28: Syntax error near "<". ERROR:ProjectMgmt - 3 error(s) found while parsing design hierarchy. ERROR:HDLParsers:3014 - "C:/Users/Panos/Desktop/udp_last/udp/udp.vhd" Line 30. Library unit axi is not available in library work. And here is the strange think. After removing and adding again tha axi.vhd file I managed to create the testbench for some files down in the hierarchy (eg IP_Complete_nomac in the picture), which also use the axi.vhd!!!! I cannot in anyway to create the testbench for the top level entity (udp). I attached the top vhdl file udp.vhd if you want to have a look. Thanks again, Regards, Panos udp.vhd 23 KB |
|
|
|
只有小组成员才能发言,加入小组>>
2384 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2264 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2431 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
757浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
547浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
369浏览 1评论
1965浏览 0评论
684浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-24 19:44 , Processed in 1.384392 second(s), Total 81, Slave 74 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号