完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
总是显示读取数据失败 代码没看出什么问题 哪位大神救救我吧
|
|
|
|
解决了 还得心细 啊
|
|
|
|
你好,请问你是怎么解决的,方便贴一下代码吗?谢谢
|
|
|
|
cic cic1( .clk(clk), .rst(rst), .xin(xin), .Yout(Yout), .rdy(rdy), .intout(intout), .dout(dout), .yt(yt) ); initial clk = 1; always#(`clk_period/2) clk = ~clk; initial begin rst = 0; #(`clk_period*5) rst = 1'b1; end initial begin $readmemb("D:/altera/cic/E6_8_sin_in.txt",data_mem);//将sin_in中数据读入存储器data_mem xin = 0; #(`clk_period*10) for(i=0;i<=4000;i=i+1) @(posedge clk) xin = data_mem; //#(`clk_period*10) $stop; end //滤波器输出信号写入文件 initial begin fid = $fopen("D:/altera/cic/sin_out.txt"); for(j=0;j<=800;j=j+1) @(negedge rdy) $fdisplay(fid,"%d",Yout); if(j == 800) $fclose(fid); end |
|
|
|
我是新手可能问的有点基础,你的intout和dout分别是什么接口。我最近正在学习FPGA的FIR滤波器。谢谢
|
|
|
|
这是testbench里面的例化,它们接的是上一级的输出以及下一级的输入,中间信号 |
|
|
|
还有一个问题 ,你贴出来的正确代码中 data_mem和i都没有定义啊 testbench怎么仿真通过的?
|
|
|
|
这个只是testbench中主要的部分代码,端口定义没有贴出来,下面所使用到的端口都定义了,不然肯定出不了结果啊 |
|
|
|
版主方便把其他的也贴出来吗?学习一下。谢谢
|
|
|
|
版主 我还有其他问题不明白,能不能加个QQ学习一下啊,我的QQ578240076,谢谢。
|
|
|
|
|
|
|
|
CIC滤波器 插值 抽取
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1446 浏览 1 评论
助力AIoT应用:在米尔FPGA开发板上实现Tiny YOLO V4
1061 浏览 0 评论
2525 浏览 1 评论
2217 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
2486 浏览 0 评论
1944 浏览 53 评论
6030 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-26 12:10 , Processed in 0.857227 second(s), Total 62, Slave 55 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号