发 帖  
阿东Verilog技术专版
阿东Verilog技术专版
收藏|RSS 经验: 47|组长:
  帖子 作者/时间 回复/查看 最后发表
关于阻塞性赋值与非阻塞性赋值的困惑 唐文彬 2013-11-7 65413 Nail_Lin 09-25 15:14
使用ml505 RocketIO GTP和ml507 RocketIO GTX之间可以实现通讯么? 年伦 2014-9-22 03617 年伦 09-22 16:29
如何生成正弦波 madsnail123 2014-9-17 35014 刘可可 09-22 15:32
verilog 阻塞赋值问题 图片附件 christsong 2014-9-14 13575 christsong 09-14 20:26
基于fpga的任意波形发生器设计遇到的问题 cia12 2014-3-1 64937 L•Y 09-11 23:55
Verilog 中generate if语句如何用systemc实现? 736217079 2014-8-29 04511 736217079 08-29 16:11
Verilog中 generate if 语句如何用systemc实现? 736217079 2014-8-28 03181 736217079 08-28 12:06
做硬件研发工作还是转行去写verilog代码的工作 f724657165 2014-8-20 02833 f724657165 08-20 10:29
菜鸟有个疑问求助 meration 2014-8-12 01855 meration 08-12 20:07
菜鸟学NIOS2 求指导!!! 图片附件 wuchao487实名认证 2014-5-8 12175 常云鹏 08-12 15:33
求FSP资料 张漠 2014-7-27 01970 张漠 07-27 21:04
新手的困惑 xymt0525实名认证 2014-3-2 22546 xiaohuixiao 07-22 12:52
基于FPGA的数据采集卡 安定 2014-4-14 02368 安定 07-20 22:27
[无满意答案] 无限脉冲滤波器的设计 - [已解决] 张平平 2014-7-10 13572 vvg 07-11 09:36
菜鸟求教,检测电路的问题。在系统时钟计数达到1ms时,... 孙明明123455 2014-5-18 22302 飞翔de蜗牛 07-11 00:06
quartus II db文件 许文强 2014-7-8 04593 许文强 07-08 21:14
串口接收问题 图片附件 taleng_cxk@yeah 2014-4-17 22975 cxfpga 06-29 17:25
verilog描述异步置0,异步置1功能的D触发器 图片附件 tangzhao_01 2014-4-4 514923 tangzhao_01 06-25 09:59
FPGA点亮led灯 附件 942876744 2014-5-2 24160 张奇 06-24 20:56
基于Verilog十字路***通信号灯微控器设计 生如风 2014-6-23 02769 生如风 06-23 23:08
quartus ii仿真滤波器的输出数据怎么保存到.txt文件中 yaoxiaokui 2014-5-10 19190 Starbaby 06-19 20:31
一段关于2FSK解调的代码没看懂 钱塘江大潮 2014-6-17 22664 zhou2sheng 06-19 10:26
fpga采集AD7865数据的问题 hjjlslr2005 2014-6-7 53302 hjjlslr2005 06-13 09:30
求助。。。学习第一步就遇到了难题 图片附件 飞奔的丸子 2014-1-22 53476 hezhuo823 06-10 20:25
阿东板子的singaltap问题 show 2014-4-29 13317 hezhuo823 06-09 22:15
DMA搬运只能在存储器之间? machairodus 2014-6-5 23648 machairodus 06-08 12:15
SDHC card無法讀取資料 图片附件 kankundachi 2014-5-29 02376 kankundachi 05-29 17:42
60进制的BCD码计数器 时序仿真不正确 求大神指点怎样修改 图片附件 ♀浪♀費♀实名认证 2014-4-3 44812 M_My 05-27 16:31
FLASH 字编程和擦除问题 fqc119实名认证 2014-5-22 24908 fqc119 05-22 16:37
回收苹果5s主板wifi模块求购5s液晶屏PCB板 腾飞电子科技 2014-5-20 02854 腾飞电子科技 05-20 14:32
关闭

站长推荐 上一条 /5 下一条

返回顶部 返回版块