发 帖  
[FPGA]

FPGA点亮led灯

2014-5-2 10:52:24  4246 led灯

FPGA入门系列实验教程——实验一点亮LED.rar

2.15 MB , 下载次数: 96

简单例程

2014-5-2 10:52:24   评论 分享淘帖 举报
2 个讨论
2014-5-2 12:15:16 评论

举报

2014-6-24 20:56:13 评论

举报

只有小组成员才能发言,加入小组>>

233个成员聚集在这个小组

加入小组

最新话题

    热门话题

      创建小组步骤

      快速回复 返回顶部 返回列表
      关注微信公众号

      电子发烧友网

      电子发烧友论坛

      社区合作
      刘勇
      联系电话:15994832713
      邮箱地址:liuyong@huaqiu.com
      社区管理
      elecfans短短
      微信:elecfans_666
      邮箱:users@huaqiu.com
      关闭

      站长推荐 上一条 /6 下一条

      快速回复 返回顶部 返回列表