发 帖  
  • 回答了问题 2020-5-5 18:05

    Xilinx核心生成器生成的AsynFifo遇到问题怎么解决

    你好@ rndso 你在模拟或硬件中看到这个吗? 你能分享一下波形来证明这个问题吗? 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 ...
  • 回答了问题 2020-5-5 10:15

    如何使用Vivado生成特定的部分比特流

    Hidohadwala.mohammad@gmail.com 看起来您正在寻找防止生成部分位文件的选项。 从Vivado 2016.1开始,您可以使用write_bitstream的-no_partial_bitfile开关来仅生成完整的设计比特流。 谢谢,迪皮卡.--------------- ...
  • 回答了问题 2020-5-5 09:59

    如何使用Vivado生成特定的部分比特流

    Hidohadwala.mohammad@gmail.com 看起来您正在寻找防止生成部分位文件的选项。 从Vivado 2016.1开始,您可以使用write_bitstream的-no_partial_bitfile开关来仅生成完整的设计比特流。 谢谢,迪皮卡.--------------- ...
  • 回答了问题 2020-5-5 09:45

    如何使用同步时钟实现一个简单的向上计数器

    你好@ neo3442 这有帮助吗? 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题,请将帖子标 ...
  • 回答了问题 2020-5-5 09:26

    如何使用同步时钟实现一个简单的向上计数器

    你好@ neo3442 新错误是因为您未在设计中为时钟端口分配MRCC / SRCC引脚。 当您使用ZC702板时,请使用板载振荡器,请参阅http://www.xilinx.com/support/documentation/boards_and_kits/zc702_zvik/ug850-zc702-eval ...
  • 回答了问题 2020-5-5 09:00

    如何使用同步时钟实现一个简单的向上计数器

    你好@ neo3442 这是我为您的设计看到的错误 [DRC 23-20]规则违规(BIVB-1)Bank IO标准支持 - Bank 35具有不兼容的IO,因为:不支持LVDS I / O标准。 移动以下端口或更改其属性:clk_p_in 我看到银行35是人力资源银 ...
  • 回答了问题 2020-5-4 15:23

    无法获得输出形式的端口A-the douta怎么回事

    你好@ rubana 你可以分享IP XCI文件? 您需要将IP的wea / web输入设置为1才能进行写入。 我在你发布的捕捉中没有看到这个。 谢谢,迪皮卡.---------------------------------------------- ----------------------- ...
  • 回答了问题 2020-5-4 15:00

    无法获得输出形式的端口A-the douta怎么回事

    你好@ rubana 你能在这里上传测试用例吗? 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题 ...
  • 回答了问题 2020-5-1 15:34

    DCM输出时钟约束的示例

    你好@ josepfer 您只需要在sys_clk输入端口上指定PERIOD约束。该工具会自动为DCM输出生成周期约束。 您可以在翻译日志文件(.bld)中注意到有关此消息的INFO消息。 如果您无法找到消息,请在此处上传.bld和.pcf文件 ...
  • 回答了问题 2020-5-1 15:17

    DCM输出时钟约束的示例

    你好@ josepfer 您只需要在sys_clk输入端口上指定PERIOD约束。该工具会自动为DCM输出生成周期约束。 您可以在翻译日志文件(.bld)中注意到有关此消息的INFO消息。 如果您无法找到消息,请在此处上传.bld和.pcf文件 ...
  • 回答了问题 2020-5-1 10:14

    模块dl不支持的开关有哪些?

    嗨, 错误是因为使用了cmos,pmos,nmos。 检查此threadhttp://forums.xilinx.com/t5/Synthesis/mos-commands/td-p/52297 XST不支持切换级别原语。 有关详细信息,请参阅XST用户指南。 下面是相同的快照 谢谢, 迪 ...
  • 回答了问题 2020-5-1 09:58

    模块dl不支持的开关有哪些?

    嗨, 错误是因为使用了cmos,pmos,nmos。 检查此threadhttp://forums.xilinx.com/t5/Synthesis/mos-commands/td-p/52297 XST不支持切换级别原语。 有关详细信息,请参阅XST用户指南。 下面是相同的快照 谢谢, 迪 ...
  • 回答了问题 2020-4-29 10:08

    下载文件后如何从2016.1安装程序安装Vivado?

    你好@ ashish7724 从下载目录运行xsetup。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖子回答了您的问题 ...
  • 回答了问题 2020-4-29 08:40

    如何从综合中排除特定的OOC模块?

    你好@ durtnapp 此功能是2016年新增的。 因此,您需要升级到2016.1或2016.2才能使用此功能。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Googl ...
  • 回答了问题 2020-4-29 08:21

    如何从综合中排除特定的OOC模块?

    你好@ durtnapp 我假设所有这些IP都存在于块设计中并且编辑一个IP导致其他IP的OOC运行过时。 如果这是正确的,请尝试在IP设置中启用“IP缓存”,如下所示。 设置上述选项后重新运行完成合成。 在此之后,如果您对块 ...
ta 的专栏
关闭

站长推荐 上一条 /6 下一条

返回顶部