完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
|
library IEEE;
use IEEE.std_logic_1164.all; entity TEST is port( CP0 : in std_logic; D: in std_logic_vector (3 downto 0); Q : out std_logic_vector (3 downto 0); end TEST; architecture ffd_arch of TEST is signal TEMP_Q: std_logic_vector (3 downto 0); begin process (CP0) begin if (CP0'event and CP0='1')then TEMP_Q <= D; end if; end process; Q <= TEMP_Q; end architecture; IN1到IN3是24V的输入,经过电阻分压后做CPLD输入端 D0到D3信号在CP0的上升沿输出锁存到Q0到Q3 D0到D3置0 CP0置0 Q0至3信号按理应该保持不变 但是实际上 D0到D3信号在CP0为1时D0到有等于Q0到Q3 D0到D3置0 CP0置0 Q0到Q3信号也跟着置0了
|
|
相关推荐
5个回答
|
|
|
我的QQ76065963希望有助人为乐的朋友指导一下 谢谢了
|
|
|
|
|
|
没有高手在么?
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
NVMe高速传输之摆脱XDMA设计50:主要功能测试结果与分析2 nvmePCIe高速存储
252 浏览 0 评论
NVMe高速传输之摆脱XDMA设计49:主要功能测试结果与分析1
1501 浏览 0 评论
907 浏览 0 评论
855 浏览 0 评论
658 浏览 0 评论
4426 浏览 63 评论
/9
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-12-2 03:04 , Processed in 0.695702 second(s), Total 82, Slave 62 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191

淘帖
11331