完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
`实现急用固定脉冲实现led的控制,触发信号如仿真结果中的clk和sda所示,已用示波器观察过,功能仿真结果也如图,代码如下,求高人指点! 报警提示:1.interring latches for variable “s1“和"s2",which holds its previous value in one or more paths through the always construct 2.timequest timing analyzer is analyzing 2 combinational loops as latches. 3. Timing requirements not met. module fp_verilog( clk,rst_n, sda, led,data_r,state_r ); input clk; //时钟信号 SCL input rst_n; //复位信号 低电平有效 input sda; //数据信号 SDA output led; //指示灯 1-亮 0-灭 output data_r; output state_r; wire[1:0] data_r; reg s1; always @(negedge sda or negedge clk or negedge rst_n) if(!rst_n) s1 <= 1'b0; else if(!sda) begin if(clk==1'b1) s1 <= 1'b1; else if(clk==1'b0) s1<=1'b0; end reg s2; always @(posedge sda or negedge clk or negedge rst_n) if(!rst_n) s2 <= 1'b0; else if(sda) begin if(clk==1'b1) s2 <= 1'b1; else if(clk==1'b0) s2<=1'b0; end reg state; always @(posedge s1 or posedge s2 or negedge rst_n) if(!rst_n) state <= 1'b0; else if(s1) state <= 1'b1; else if(s2) state <= 1'b0; reg[1:0] data; reg[1:0] k;//0-3 always @(posedge clk or negedge rst_n) if(!rst_n) begin data[1:0] <= 2'b11; k<=2'd0; end else if(state) begin data[k]<=sda; if(k==2'd1) k<=2'd0; else k<=k+2'd1; end else begin data[1:0]<=2'b11; k<=2'd0; end reg led_r; always @(negedge state or negedge rst_n) if(!rst_n) led_r <= 1'b0; else if(data==2'b01) led_r <= 1'b1; else if(data==2'b00) led_r <= 1'b0; assign led = led_r; assign data_r=data; assign state_r=state; endmodule `
fp_verilog.rar
(32.21 KB, 下载次数:
)
|
|
相关推荐
2个回答
|
|
s1/s2代码书写方式不太规范:
s1/s2在时序逻辑中依据时钟作为使能信号,此种情况下s1/s2通常会保持1或者0. 需要更改s1/s2的控制方式。 或者把你的功能需求描述出来。 |
|
2 条评论
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1330 浏览 1 评论
1140 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
1341 浏览 0 评论
904 浏览 0 评论
2161 浏览 0 评论
1401 浏览 32 评论
5598 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-19 17:35 , Processed in 0.913167 second(s), Total 98, Slave 73 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号