完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
嗨....我正在使用XC7A200T***g484板来研究。当我使用OBUFDS缓冲功能生成差分信号时,Vivado有一个警告:
警告:[Labtools 27-3123]在用户扫描链1或3处未检测到调试集线器核心。解决方案:1。确保连接到调试集线器(dbg_hub)内核的时钟是自由运行时钟且处于活动状态OR2。 使用-e“set xsdb-user-bscan”手动启动hw_server以检测用户扫描链2或4处的调试集线器。要确定用户扫描链设置,请打开已实现的设计并使用:get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]。 这个程序成功下载到FPGA中,但FPGA的差分引脚没有信号。 如何解决这个问题?谢谢.... 我的xdc文件是: set_property IOSTANDARD LVCMOS25 [get_ports {out [0]}] set_property IOSTANDARD LVCMOS25 [get_ports {out [1]}] set_property IOSTANDARD LVDS_25 [get_ports {out_p}] set_property IOSTANDARD LVDS_25 [get_ports {out_n}] set_property IOSTANDARD LVCMOS25 [get_ports {out [ 2]}] set_property PACKAGE_PIN V8 [get_ports {out [0]}] set_property PACKAGE_PIN V7 [get_ports {out [1]}] set_property PACKAGE_PIN J14 [get_ports {out_p}] set_property PACKAGE_PIN A14 [get_ports {out_n}] set_property PACKAGE_PIN Y8 [get_ports {out [ 2]}] set_property IOSTANDARD LVCMOS25 [get_ports clk] set_property PACKAGE_PIN R4 [get_ports clk] create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] set_property IOSTANDARD LVCMOS25 [get_ports reset] set_property PACKAGE_PIN G4 [get_ports reset] set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.COMPRESS true [current_design] set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design] set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design] set_property BITSTREAM.CONFIG.SPI_FALL_EDGE是[ current_design] |
|
相关推荐
5个回答
|
|
嗨@nguyentruong,
我不认为警告和问题是相关的 如果您之前有ILA和设计并且未正确删除,则通常会出现警告。 如果这是你想象的那样,我会检查你的count_4bit IP(你在模拟中检查过吗?)。 亲切的问候, 弗洛朗 FlorentProduct应用工程师 - Xilinx技术支持EMEA ------------------------------------------ -------------------------------------------------- ----------------------------不要忘记回复,kudo,并接受作为解决方案。 |
|
|
|
@florentwno我没有。
但Count_4 IP的其他单个信号可以正常输出,只有差分信号不能。 我的设计: 模块adder_top1(输入clk,输入复位,输出out_p,输出out_n,输出[2:0]输出); reg [2:0]; wire out_p,out_n; 电线[3:0] out1; OBUFDS OBUFDS_inst2(.O(out_p),. OB(out_n),. I(out1 [2])); 总是@(posedge clk)开始[0] out [1] out [2] end count_4bit U0(.clk(clk),. reset(reset),。out1(out1)); endmodule module count_4bit(clk,reset, out1);输入clk,reset;输出[3:0] out1; reg [3:0] out1;总是@(posedge clk)beginif(reset == 1'b0)开始out1else if(out1 == 4'b1111) 开始out1else开始out1endendmodule |
|
|
|
嗨@nguyentruong,
看起来很好。 你对单对端口有信号吗? (下) 问候, 弗洛朗 FlorentProduct应用工程师 - Xilinx技术支持EMEA ------------------------------------------ -------------------------------------------------- ----------------------------不要忘记回复,kudo,并接受作为解决方案。 |
|
|
|
@florentwsorry。今天我有时间回复你的来信。
不,我的差分信号连接到JB模块,这个JB模块都是差分端口。 http://store.digilentinc.com/nexys-video-artix-7-fpga-trainer-board-for-multimedia-applications/这是我正在使用的电路板.. |
|
|
|
嗨@nguyentruong,
您应该在设计中添加一个ILA,以检查是否有信号进入输出(在FPGA内部)。 问候, 弗洛朗 FlorentProduct应用工程师 - Xilinx技术支持EMEA ------------------------------------------ -------------------------------------------------- ----------------------------不要忘记回复,kudo,并接受作为解决方案。 |
|
|
|
只有小组成员才能发言,加入小组>>
2383 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2263 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2430 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
756浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
546浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
368浏览 1评论
1964浏览 0评论
683浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-24 08:21 , Processed in 1.353515 second(s), Total 56, Slave 49 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号