完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
嗨,我是zynq设备的新手。
我需要一点帮助。 我想在Zedboard的PL端实现简单的计数器。 这意味着我想单独使用PL(FPGA)。 那可能吗 ? 但是,当我将板载100 mhz时钟连接到我的计数器vhdl设计时,它无法正常工作。 我的设计的目标是使用fpga中的计数器在zedboard上闪烁LED。 我使用其中一个DIP开关作为启用,按下按钮作为复位。 我的clk是100 MHz Y9引脚 复位为P16,使能为F22。 ************************************************** ************************************************** ********** 进程(clk,enable,reset)开始if(rising_edge(clk))然后if((reset ='1')OR(pre_count =“000000000000000000000000001111”))然后pre_count elsif(enable ='1')然后pre_count结束if; 结束如果;结束过程; 发光二极管 ************************************************** ************************************************** ********** 我做错了什么或错过任何一步? 需要你的帮助。 谢谢, 西 |
|
相关推荐
6个回答
|
|
嗨,
首先应该可以使用该设备的PL部分。 正如我从你所写的计数器逻辑中看到的那样,当你的LSB(3 downot 0)是1111时,当你重置计数器时,你分配给leds的pre_count位(23 downto 20)永远不会很高 请重新访问此计数器代码,以便使这4个MSB成为1.-Shreyas -------------------------------------------------- --------------------------------------------尝试搜索你的答案 在发布新帖子之前在论坛或xilinx用户指南中发出问题。请注意 - 如果提供的信息解决了您的问题,请将答案标记为“接受为解决方案”。给予您认为有用的帖子给予荣誉(右边提供的星号) 并回复.---------------------------------------------- ------------------------------------------------ 在原帖中查看解决方案 |
|
|
|
嗨,
首先应该可以使用该设备的PL部分。 正如我从你所写的计数器逻辑中看到的那样,当你的LSB(3 downot 0)是1111时,当你重置计数器时,你分配给leds的pre_count位(23 downto 20)永远不会很高 请重新访问此计数器代码,以便使这4个MSB成为1.-Shreyas -------------------------------------------------- --------------------------------------------尝试搜索你的答案 在发布新帖子之前在论坛或xilinx用户指南中发出问题。请注意 - 如果提供的信息解决了您的问题,请将答案标记为“接受为解决方案”。给予您认为有用的帖子给予荣誉(右边提供的星号) 并回复.---------------------------------------------- ------------------------------------------------ |
|
|
|
非常感谢我的错误
|
|
|
|
和...
2 ^ 24作为MSB如果完全计数仍然是160毫秒(也许太快注意到它甚至在100 MHz输入时钟闪烁) Austin Lesea主要工程师Xilinx San Jose |
|
|
|
亲爱的@ csaikiran86,
在寻找我的问题的答案时,我刚刚回到这篇文章。 我使用完全相同的ZedBoard,它的100 MHz时钟信号在引脚Y9上。 我在.xdcfile中使用了以下约束: create_clock -name sys_clk -period 10 [get_ports sys_clk] 其中,sys_clk是我的top文件中的clocksignal的名称。 但是,我怎样才能将它分配给Pin Y9? 如何将启用和复位引脚分配给XDC文件中的那些引脚? 感谢致敬, |
|
|
|
https://github.com/Digilent/Zedboard/blob/master/Resources/XDC/zedboard_master.xdc
或者,通过众多设计实例之一,或获取Zedbook: http://www.zynqbook.com/ Austin Lesea主要工程师Xilinx San Jose |
|
|
|
只有小组成员才能发言,加入小组>>
2361 浏览 7 评论
2780 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2247 浏览 9 评论
3324 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2414 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
730浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
524浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
336浏览 1评论
742浏览 0评论
1935浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-9 01:08 , Processed in 1.556830 second(s), Total 89, Slave 72 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号