完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
大家好,
如何从FPGA的GPIO读取数据? 是否有任何特殊/专用指令或我是否要声明组件的实体(使用VHDL)并将GPIO端口连接到组件的“in”端口? 任何帮助/链接/提示将不胜感激。 谢谢, sticken 以上来自于谷歌翻译 以下为原文 Hello everybody, How can I read data from GPIO of an FPGA? Is there any special/dedicated instruction or have I to declare the entity of a component (using VHDL) and connect the GPIO port to the "in" port of my component? Any help/link/hint would be much appreciated. Thank you, sticken |
|
相关推荐
9个回答
|
|
您正在为FPGA设计硬件电路而不是为CPU编写代码。
因此,不存在读取GPIO的概念。 FPGA具有双向IO引脚,可以直接连接到您正在设计的硬件电路。 ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com 以上来自于谷歌翻译 以下为原文 You are designing hardware circuits for an FPGA and not writing code for a CPU. So the concept of reading a GPIO does not exist. The FPGA does have bidirectional IO pins and these can be directly connected to the hardware circuits that you are designing. ------Have you tried typing your question into Google? If not you should before posting. Too many results? Try adding site:www.xilinx.com |
|
|
|
你是对的!
我对VHDL和硬件电路有一些了解,但我从未使用过FPGA。 如何将IO引脚连接到电路的I / O端口? 提前致谢。 sticken 以上来自于谷歌翻译 以下为原文 You're right! I have some knowledge of VHDL and hardware circuits, but I've never worked with an FPGA. How can I connect IO pins to I/O ports of my circuit? Thanks in advance. sticken |
|
|
|
合成器将自动在级别端口上插入IO缓冲区。
------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com 以上来自于谷歌翻译 以下为原文 The synthesizer will automatically insert IO buffers on the to level ports.------Have you tried typing your question into Google? If not you should before posting. Too many results? Try adding site:www.xilinx.com |
|
|
|
sticken写道:
你是对的! 我对VHDL和硬件电路有一些了解,但我从未使用过FPGA。 如何将IO引脚连接到电路的I / O端口? 提前致谢。 你的“电路”是连接到FPGA的外部元件,还是你所描述的逻辑在FPGA中? ----------------------------是的,我这样做是为了谋生。 以上来自于谷歌翻译 以下为原文 sticken wrote:Is your "circuit" the external stuff connected to the FPGA, or is it the logic you're describing that lives IN the FPGA? ----------------------------Yes, I do this for a living. |
|
|
|
bassman59,我的电路是存在于FPGA中的逻辑。
因此,合成器将插入I / O缓冲器但是如何将数据从外部发送到FPGA内部的电路? 提前致谢, 马特奥 以上来自于谷歌翻译 以下为原文 bassman59, my circuit is the logic that lives in the FPGA. So the synthesizer will insert the I/O buffers but how can I send data from outside to the circuit inside the FPGA? Thanks in advance, Matteo |
|
|
|
我的电路是存在于FPGA中的逻辑。
因此,合成器将插入I / O缓冲器但是如何将数据从外部发送到FPGA内部的电路? 您可以通过GPIO将外部数据发送到FPGA内部。 库ieee;使用ieee.std_logic_1164.all;实体test_in是端口(clk:在std_logic中; rst:在std_logic中; gpio_i:在std_logic中; gpio_o:out std_logic中); end test_in; test_in的架构rtl:tempignal temp:std_logic; beginprocess(clk,rst)start if if(rst ='1')then temp elsif(Rising_edge(clk))then temp end if; end process; gpio_o end rtl; 这只是一个简单的代码,说明如何通过GPIO引脚从外部,FPGA内部获取数据,以及其他GPIO引脚或GPIO LED上的gving输出。 但是,如果要从GPIO读取数据,可以使用逻辑分析仪检查是否有某些信号,即GPIO上是否存在数据...... 以上来自于谷歌翻译 以下为原文 my circuit is the logic that lives in the FPGA. So the synthesizer will insert the I/O buffers but how can I send data from outside to the circuit inside the FPGA? You can send data from outside world to inside FPGA through GPIO's. library ieee; use ieee.std_logic_1164.all; entity test_in is port ( clk : in std_logic; rst : in std_logic; gpio_i : in std_logic; gpio_o : out std_logic ); end test_in; Architecture rtl of test_in is signal temp : std_logic; begin process(clk,rst) begin if(rst='1') then temp <= '0'; elsif(Rising_edge(clk)) then temp <= gpio_i; end if; end process; gpio_o <= temp; end rtl; This is just a simple code illustrating how to take data from outside,inside the FPGA through GPIO pin an gving output on other GPIO pin or GPIO LED. However if you want to read the data from GPIO you may use Logic Analyser to check whether there is some signal i.e data present on GPIO or not... |
|
|
|
>如何从外部向FPGA内部的电路发送数据?
通过将数据应用到已分配输入的FPGA引脚。 您似乎缺少一些非常基本的硬件和FPGA概念。 我强烈建议您阅读一些简单的ISE教程。 ------您是否尝试在Google中输入问题? 如果没有,你应该在发布之前。太多结果? 尝试添加网站:www.xilinx.com 以上来自于谷歌翻译 以下为原文 > how can I send data from outside to the circuit inside the FPGA? By applying the data to the pin of the the FPGA that you have assigned the input to. You seem to be missing some very basic hardware and FPGA concepts. I would strongly encourage you to go through some of the simple ISE tutorials. ------Have you tried typing your question into Google? If not you should before posting. Too many results? Try adding site:www.xilinx.com |
|
|
|
您可以通过GPIO将外部数据发送到FPGA内部。
这只是一个简单的代码,说明如何通过GPIO引脚从外部,FPGA内部获取数据,以及其他GPIO引脚或GPIO LED上的gving输出。 但是,如果要从GPIO读取数据,可以使用逻辑分析仪检查是否有某些信号,即GPIO上是否存在数据...... 这让事情变得过于复杂。 “GPIO”是一种微控制器概念,与FPGA领域无关。所有FPGA引脚在某种意义上都是GPIO。 它们是通用的,它们是I / O. ----------------------------是的,我这样做是为了谋生。 以上来自于谷歌翻译 以下为原文 That's overly complicating the matter. "GPIO" is a microcontroller concept which is irrelevant in the FPGA world. All FPGA pins are GPIO in a sense. They ARE general purpose and they ARE I/O. ----------------------------Yes, I do this for a living. |
|
|
|
你能告诉我如何/在哪里找到这些教程?
谢谢 以上来自于谷歌翻译 以下为原文 Could you tell me how/where to find those tutorials? Thank you |
|
|
|
只有小组成员才能发言,加入小组>>
2384 浏览 7 评论
2800 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2264 浏览 9 评论
3336 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2431 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
757浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
547浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
369浏览 1评论
1965浏览 0评论
684浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-24 20:50 , Processed in 1.391974 second(s), Total 94, Slave 78 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号