完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
嗨,现在我有一个大型项目,包含来自不同组的大量模块。
我想合成这个项目(使用ise11.1和FPGA v5)。 由于某些原因,我无法获得所有源文件,但* .ngc文件。 在我看来,在一个大型项目中,我不希望每个人都将模块约束添加到* .ucf文件中,在* .ucf文件中只包含一些全局约束,例如PAD和clock constrait。 因为* .ncf文件绑定到* .ngc文件,所以我希望其他人将特殊约束(如tiG和多周期路径约束)放在* .ncf文件中。 所以他们可以在* .ncf文件中以* .ngc格式和约束提交thire设计。 但我旁边没有人如何编写和使用* .ncf文件。 我已经阅读了“约束guide.pdf”文档,没有实际的例子,所以我仍然不知道如何编写和使用。 这是一些简单的代码...... module design_top(); //在声明中---------------- //一些逻辑------------------------ //这是一个实例 sec_layer sec_layer(); //一些逻辑---------------------- endmodule 模块sec_layer(); //在声明中---------------- //一些逻辑------------------------ //这是一个实例 ccm_en ccm_en(); //这是一个实例 ccm_de ccm_de(); //一些逻辑---------------------- 结束模块 module ccm_en(); //在声明中---------------- reg [7:0] a,b,temp1,temp2; //一些逻辑------------------------ 永远@(posedge clk或negedge rstn) 开始 // ----------一些操作----------- 一个 // ----------一些操作----------- 结束 永远@(posedge clk或negedge rstn) 开始 // ----------一些操作----------- b // ----------一些操作----------- 结束 endmodule 在上面的代码中,design_top是顶层模块,而在ccm_en模块中,从寄存器a到寄存器b是一个多周期路径。任何人都可以告诉如何写这个* .ncf以及如何将这个* .ncf绑定到* .ngc。谢谢 以上来自于谷歌翻译 以下为原文 hi,now I have a large project consist of a large number of modules that from different groups. I want to systhesis this project(use ise11.1 and fpga v5). because of some reasons, I can not get all the source files but *.ngc files . In my opinion, in a large project , I do not want every people add thire module constraint to the *.ucf file, in the *.ucf file just consist some globle contraint such as PAD and clock constrait . because the *.ncf file bind to a *.ngc file,so I want others to put the special constraint such as TIG and multicycle path constraint in a *.ncf file. so they can submit thire design in *.ngc format and constraints in *.ncf file. but no one beside me how to write and use *.ncf file. I have read the "constraint guide.pdf" document , there is no practical example so I still didn't know how to write and use. here is some simple code... module design_top(); //in out declaration---------------- // some logic------------------------ //this is a instance sec_layer sec_layer(); // some logic---------------------- endmodule module sec_layer(); //in out declaration---------------- // some logic------------------------ //this is a instance ccm_en ccm_en(); //this is a instance ccm_de ccm_de(); // some logic---------------------- end module module ccm_en(); //in out declaration---------------- reg [7:0] a ,b ,temp1, temp2; // some logic------------------------ always @(posedge clk or negedge rstn) begin // ----------some operation----------- a <= temp1; // ----------some operation----------- end always @(posedge clk or negedge rstn) begin // ----------some operation----------- b <= temp2 +a; // ----------some operation----------- end endmodule in the above code ,design_top is the top module, and in ccm_en module, from register a to register b is a multicycle path.so anyone who can tell how to write this *.ncf and how to bind this *.ncf to the *.ngc .thanks |
|
相关推荐
6个回答
|
|
以下是一些建议。
1.如果您在ISE中运行设计。 解决方案1:使用NGCbuild命令将ncf中的约束嵌入到子模块NGC网表中。 但是,约束文件必须具有扩展名.ucf而不是.ncf,因为NGCbuild只识别UCF。 解决方案2:以与ucf相同的方式使用ncf。 ISE允许您在项目中添加多个UCF。 但是,通过这种方式,ncf也被视为顶级约束文件。也就是说,您已经在ncf中的约束中考虑了对象的层次级别。 2.如果您在PlanAhead中运行设计。 PlanAhead允许您为子模块导入URF。 不确定是否支持ncf。 谢谢, -Vivian 在原帖中查看解决方案 以上来自于谷歌翻译 以下为原文 Here are some suggestions for you. 1. If you run the design in ISE. solution 1: use NGCbuild command to embed the constraints in the ncf to the submodule NGC netlist. However, the constraint file must have extension .ucf but not .ncf because NGCbuild only recognized UCF. solution 2: use ncf in the same way as ucf. ISE allows you to add multiple UCFs in a project. However, in this way the ncf is treated as a top level constraint file as well. That is to say, you have to consider the hierarchical level of the objects in the constraints in ncf. 2. If you run the design in PlanAhead. PlanAhead allows you to import UCF for a submodule. Not sure if ncf is supported. Thanks, -Vivian View solution in original post |
|
|
|
以下是一些建议。
1.如果您在ISE中运行设计。 解决方案1:使用NGCbuild命令将ncf中的约束嵌入到子模块NGC网表中。 但是,约束文件必须具有扩展名.ucf而不是.ncf,因为NGCbuild只识别UCF。 解决方案2:以与ucf相同的方式使用ncf。 ISE允许您在项目中添加多个UCF。 但是,通过这种方式,ncf也被视为顶级约束文件。也就是说,您已经在ncf中的约束中考虑了对象的层次级别。 2.如果您在PlanAhead中运行设计。 PlanAhead允许您为子模块导入URF。 不确定是否支持ncf。 谢谢, -Vivian 以上来自于谷歌翻译 以下为原文 Here are some suggestions for you. 1. If you run the design in ISE. solution 1: use NGCbuild command to embed the constraints in the ncf to the submodule NGC netlist. However, the constraint file must have extension .ucf but not .ncf because NGCbuild only recognized UCF. solution 2: use ncf in the same way as ucf. ISE allows you to add multiple UCFs in a project. However, in this way the ncf is treated as a top level constraint file as well. That is to say, you have to consider the hierarchical level of the objects in the constraints in ncf. 2. If you run the design in PlanAhead. PlanAhead allows you to import UCF for a submodule. Not sure if ncf is supported. Thanks, -Vivian |
|
|
|
谢谢你的好心
但是我还有一点不可理解。 1.我不熟悉NGCbuild,但Xilinx网站上的一份文件说它生成的网表仅用于模拟检查或分析,也许我犯了一个错误。 2.我使用XST在命令行模式下生成* .ngc文件。 在运行NGDbuild时,它不会加载* .ncf,其基本名称与顶级设计的* .ngc名称相同。 但它在加载子模块的* .ngc文件时加载子模块的* .ncf,为什么? 3.如何在项目中添加多个UCF,分配哪个选项? 4.最后,我想确认* .ncf的语法与* .ucf完全相同? 以上来自于谷歌翻译 以下为原文 thanks for your kindness but I still have some points don't understand. 1. I'm not familiar with NGCbuild, but a document from Xilinx web said the netlist generated by it is just used for simulation checking or analysis,Perhaps I have made a mistake. 2.I use XST to generate the *.ngc file in command line mode. when runing the NGDbuild, it doesn't load the *.ncf who's base name is the same with the top design's *.ngc's name. but it load submodule's *.ncf when it load the submodule's *.ngc file, why? 3.how to add multiple UCFs in a project ,wich option to assigned? 4.finally, I want to Confirm that the *.ncf's syntax is absolutely the same with *.ucf ? |
|
|
|
1.我不熟悉NGCbuild,但Xilinx网站上的一份文件说它生成的网表仅用于模拟检查或分析,也许我犯了一个错误。
--- ngcbuild生成的网表可用于实施。 您可以在软件手册 - >命令行工具用户指南中找到ngcbuild命令的语法。 BTW,从ngc2edif生成的EDIF网表仅用于检查或分析。 也许该文件指的是ngc2edif? 2.我使用XST在命令行模式下生成* .ngc文件。 在运行NGDbuild时,它不会加载* .ncf,其基本名称与顶级设计的* .ngc名称相同。 但它在加载子模块的* .ngc文件时加载子模块的* .ncf,为什么? ---如果没有重现这个问题我就不知道了。 3.如何在项目中添加多个UCF,分配哪个选项? ---只需将UCF添加到项目源。 (从10.1和前进支持) 4.最后,我想确认* .ncf的语法与* .ucf完全相同? - -是。 但我仍然建议你在Constraints Guide中查看你正在使用的约束。 维维安 以上来自于谷歌翻译 以下为原文 1. I'm not familiar with NGCbuild, but a document from Xilinx web said the netlist generated by it is just used for simulation checking or analysis,Perhaps I have made a mistake. ---netlist generated from ngcbuild can be used for implementation. You can find the syntax of ngcbuild command in Software Manuals -> Command Line Tools User Guide. BTW, the EDIF netlist generated from ngc2edif is just used for checking or analysis. Maybe the document is referring to ngc2edif? 2.I use XST to generate the *.ngc file in command line mode. when runing the NGDbuild, it doesn't load the *.ncf who's base name is the same with the top design's *.ngc's name. but it load submodule's *.ncf when it load the submodule's *.ngc file, why? ---I've no idea about this without reproducing the problem. 3.how to add multiple UCFs in a project ,wich option to assigned? ---Just add the UCFs to project sources. (supported from 10.1 and forward) 4.finally, I want to Confirm that the *.ncf's syntax is absolutely the same with *.ucf ? ---Yes. But I still suggest you check this in Constraints Guide for the constraints you are using. Vivian |
|
|
|
1.我使用XST在命令行模式下生成* .ngc文件。
在运行NGDbuild时,它不会加载* .ncf,其基本名称与顶级设计的* .ngc名称相同。 但它在加载子模块的* .ngc文件时加载子模块的* .ncf,为什么? (我把顶级设计的* .ncf放在与* .ngc相同的目录中) 如果没有重现问题,你说你根本不知道这个。 所以我把信息复制到这里,你能给一些指导吗? 命令行:/ my_tools_path / ngdbuild -p xc5vlx330-ff1760-1 -nt timestamp my_top_name.ngc -uc my_top_name.ucf my_top_name.ngd -sd / my_submodule_ngc_path 阅读NGO文件 “/my_project_path/my_top_name.ngc” ... 加载设计模块 “my_ngc_path / a_sub_module_name.ngc” ...... 应用约束 “my_ngc_path / a_sub_module_name.ncf”来 模 “my_ngc_path / a_sub_module_name.ngc” ...... 检查约束关联... 加载设计模块 ....................................(其他一些子模块* .ngc和* .ncf).. ................... 从源属性收集约束信息... 完成... 从ucf文件“my_top_name.ucf”设计的限制设计 .................................................. ..................... 2.在项目中添加多个UCF,如何命名UCF文件? 3.如果在项目中添加多个UCF,UCF中的信号名称应该是顶部设计中的绝对路径还是它约束的模块的相对路径? 谢谢 莱纳 以上来自于谷歌翻译 以下为原文 1. I use XST to generate the *.ngc file in command line mode. when runing the NGDbuild, it doesn't load the *.ncf who's base name is the same with the top design's *.ngc's name. but it load submodule's *.ncf when it load the submodule's *.ngc file, why? (I have put the top design's *.ncf in the directory same with *.ngc ) you said you have no idea about this without reproducing the problem. so I copy the message to here,can you give some guideness? Command Line:/my_tools_path/ngdbuild -p xc5vlx330-ff1760-1 -nt timestamp my_top_name.ngc -uc my_top_name.ucf my_top_name.ngd -sd /my_submodule_ngc_path Reading NGO file "/my_project_path/my_top_name.ngc"... Loading design module "my_ngc_path/a_sub_module_name.ngc"... Applying constraints in "my_ngc_path/a_sub_module_name.ncf" to module "my_ngc_path/a_sub_module_name.ngc"... checking constraint Association... Loading design module ....................................(some other submodule *.ngc and *.ncf)..................... Gathering constraint information from source properties... Done... Ammotating constraints to design from ucf file "my_top_name.ucf" ....................................................................... 2.to add multiple UCFs in a project ,how to name the UCFs file ? 3.if add multiple UCFs in a project ,the signal names in UCFs should be Absolute path in the top design or Relative path to the module it constraint? thanks rainer |
|
|
|
2.在项目中添加多个UCF,如何命名UCF文件?
---你如何命名UCF并不重要。 它们都是整个设计的UCF,而不是特定的子模块。 3.如果在项目中添加多个UCF,UCF中的信号名称应该是顶部设计中的绝对路径还是它约束的模块的相对路径? ---顶级设计中的绝对路径 1.从翻译过程的日志信息中,如果Ngcbuild与子模块网表具有相同的名称,它似乎将自动获取网表约束文件。 如果是这样,您需要做的就是将ncf与网表放在同一个位置。 并且您可以使用相对路径来获取ncf中的信号名称。 -Vivian 以上来自于谷歌翻译 以下为原文 2.to add multiple UCFs in a project ,how to name the UCFs file ? ---It does not matter how you name the UCFs. They are all treated as the UCF for the whole design, not for a particular submodule. 3.if add multiple UCFs in a project ,the signal names in UCFs should be Absolute path in the top design or Relative path to the module it constraint? ---Absolute path in the top design 1. From the log information of the translate process, it seems that the netlist constraint file will be picked up by Ngcbuild automatically if it has the same name with the submodule netlist. If this is true, all you need to do is to put the ncf in the same location with the netlist. And you can use Relative path for the signal names in the ncf. -Vivian |
|
|
|
只有小组成员才能发言,加入小组>>
2374 浏览 7 评论
2790 浏览 4 评论
Spartan 3-AN时钟和VHDL让ISE合成时出现错误该怎么办?
2257 浏览 9 评论
3331 浏览 0 评论
如何在RTL或xilinx spartan fpga的约束文件中插入1.56ns延迟缓冲区?
2422 浏览 15 评论
有输入,但是LVDS_25的FPGA内部接收不到数据,为什么?
745浏览 1评论
请问vc707的电源线是如何连接的,我这边可能出现了缺失元件的情况导致无法供电
532浏览 1评论
求一块XILINX开发板KC705,VC707,KC105和KCU1500
355浏览 1评论
749浏览 0评论
1950浏览 0评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-11-19 06:21 , Processed in 1.116112 second(s), Total 59, Slave 52 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号