完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
|
这是主程序module test1(
input wire clk, input wire rst, input wire [7:0] in, //output reg [3:0] cnt, output reg [7:0] out ); always @ (posedge clk or negedge rst) begin if(!rst) begin out <= 0; end else begin out <= in; end end 这是仿真tb // Generate the stimulate initial begin clk = 1'b0; rst = 1'b0; in = 8'h00; #40; rst = 1'b1; #20; in = 8'b00001111; #20; in = 8'h55; #20; in = 8'h23; #20; in = 8'h96; #1000; $finish(); end always begin #10 clk =~clk; end 下面是仿真后的道德波形?为什么没有赋值过去呢?
|
|
相关推荐 |
|
你正在撰写讨论
如果你是对讨论或其他讨论精选点评或询问,请使用“评论”功能。
647 浏览 0 评论
NVMe over Fabrics 国产 IP:高性能网络存储解决方案
618 浏览 0 评论
130 浏览 0 评论
NVMe高速传输之摆脱XDMA设计54:如何测试队列管理功能2
422 浏览 0 评论
NVMe高速传输之摆脱XDMA设计53:如何测试队列管理功能
748 浏览 0 评论
4572 浏览 64 评论
/9
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-12-14 12:25 , Processed in 0.793302 second(s), Total 44, Slave 33 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191

淘帖
7910