发 帖  
经验: 积分:222
学生 学校
山东省 济南市 学术研究/学生
  • 回答了问题 2014-3-20 17:01

    STM32 GPIO 开漏输出 数据读取

    中北大学
    用上拉电阻的时候不要用推挽输出,推完输出时stm32的io端口会是3.3v的电压,而不是5v。
  • 回答了问题 2013-5-17 21:00

    波形失真

    学生 学校
    源码library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity signal2 is port(clk,reset:in std_logic; q:out std_logic_vector(7 downto 0)); en ...
ta 的专栏
关闭

站长推荐 上一条 /9 下一条

返回顶部