发 帖  
  • 回答了问题 2020-4-14 06:53

    怎么将vivado12.3更新到最新的15.4版本?

    你好@ vinus_rahul 您的许可证的版本限制是多少? 请查看此ARhttp://www.xilinx.com/support/answers/33770.html 我认为您无法使用此许可证升级到2015.4。 通常,许可证支持1年内的工具升级(版本限制)。 如果您想 ...
  • 回答了问题 2020-4-14 06:42

    电路板电源或连接不兼容

    你好@ s_zo 您尚未为以下端口指定LOC约束,因此您会看到此错误。 uart_rtl_rxd, uart_rtl_txd 请指定LOC约束。 如果要在不指定LOC约束的情况下覆盖错误,请查看以下文章:http://www.xilinx.com/support/answers/5 ...
  • 回答了问题 2020-4-14 06:22

    电路板电源或连接不兼容

    你好@ s_zo 你能在这里附上你的TCL文件吗? 您在TCL文件中拥有的所有命令是什么? 只需要下面的命令 set_property SEVERITY {警告} [get_drc_checks UCIO-1] 谢谢, 迪皮卡。 谢谢,迪皮卡.------------------------ ...
  • 回答了问题 2020-4-8 10:19

    缺少用户IP偏移地址或基地址

    Hi@gopala.medisetti 你的问题解决了吗? 谢谢, 迪皮卡。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google之前的问题 张贴。 如果某人的帖 ...
  • 回答了问题 2020-4-8 10:07

    缺少用户IP偏移地址或基地址

    Hi@gopala.medisetti 在vivado后期合成中,结果以DCP格式存储。 而不是创建合成后项目,创建RTL项目并添加NGC,包装RTL文件,然后打包它。 谢谢, 迪皮卡。 谢谢,迪皮卡.----------------------------------------- ...
  • 回答了问题 2020-4-8 10:02

    在哪里可以找到Vivado模拟器中的Memory Viewer?

    你好@ voytek 内存编辑器目前在Vivado中不可用。 这是路线图。 请查看此相关讨论https://forums.xilinx.com/t5/Simulation-and-Verification/Block-memory-monitoring-in-vivado-simulation/m-p/322613/highlight/tr ...
  • 回答了问题 2020-4-8 09:39

    缺少用户IP偏移地址或基地址

    Hi@gopala.medisetti 您是否使用IP打包器单独打包NGC文件? 你能尝试为这个NGC包含一个HDL包装文件然后打包吗? 看看这是否有所不同。 谢谢, 迪皮卡。 谢谢,迪皮卡.--------------------------------------------- ...
  • 回答了问题 2020-4-7 13:50

    Vivado WebPack模拟器许可证问题

    你好@ vru6 要运行Vivado工具,您需要拥有Vivado许可证文件。 ISE webpack许可证不允许您使用Vivado。 按照AR http://www.xilinx.com/support/answers/60435.html生成Vivado webpack许可证。 谢谢, 迪皮卡。 谢谢 ...
  • 回答了问题 2020-4-3 10:23

    Artix-7 FPGA DDR SDRAM支持

    你好@ kpench 我们有MIG IP(Vivado免费提供),但7系列MIG不支持DDR内存。 请参考此链接http://www.xilinx.com/products/technology/memory-interfacing.html#7series 谢谢, 迪皮卡。 谢谢,迪皮卡.-------------- ...
  • 回答了问题 2020-4-3 10:13

    时钟区域中的时钟多于每个时钟区域允许的最大时钟数

    你好@ hulk789 好试试这个: 在GUI中打开合成设计并使用以下命令编写DCP write_checkpoint post_synth.dcp 谢谢, 迪皮卡。 谢谢,迪皮卡.---------------------------------------------- ----------------------- ...
  • 回答了问题 2020-4-3 10:10

    Artix-7 FPGA DDR SDRAM支持

    你好@ kpench 我们有MIG IP(Vivado免费提供),但7系列MIG不支持DDR内存。 请参考此链接http://www.xilinx.com/products/technology/memory-interfacing.html#7series 谢谢, 迪皮卡。 谢谢,迪皮卡.-------------- ...
  • 回答了问题 2020-4-3 09:59

    VIVADO 2014.4无法重新生成系统版许可证

    你好@ vimalk 仅提供一个30天的评估许可证。 如果您想使用逻辑分析仪,则需要购买许可证,请查看此链接http://www.xilinx.com/products/design-tools/vivado.html#buy 谢谢, 迪皮卡。 谢谢,迪皮卡.--------------- ...
  • 回答了问题 2020-4-3 09:59

    无法直接从EDK切片

    你好@ anikitos25 尝试使用MARK_DEBUG属性。 有关语法详细信息,请参阅http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_7/cgd.pdf的第163页。 另请参阅此文章:http://www.xilinx.com/support/an ...
  • 回答了问题 2020-4-3 09:43

    时钟区域中的时钟多于每个时钟区域允许的最大时钟数

    你好@ hulk789 检查项目目录 project_name / project_name.runs / impl_1文件夹 谢谢, 迪皮卡。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- G ...
  • 回答了问题 2020-4-3 09:15

    时钟区域中的时钟多于每个时钟区域允许的最大时钟数

    你好@ hulk789 请在此处附加位于.runs - > impl_1文件夹的_opt.dcp文件。 谢谢, 迪皮卡。 谢谢,迪皮卡.---------------------------------------------- ---------------------------------------------- Google ...
ta 的专栏
关闭

站长推荐 上一条 /6 下一条

返回顶部