发 帖  
经验: 积分:57
hust
湖北省 武汉市 学术研究/学生
  • 回答了问题 2016-5-31 09:43

    利用FPGA找寻极大值点

    hust
    已经做出来了,还是感谢你的回复~
  • 回答了问题 2016-5-31 08:13

    利用FPGA找寻极大值点

    hust
    我是想要找极大值,不是最大值,不过你能回答还是表示非常感谢!!
  • 回答了问题 2016-5-30 15:26

    利用FPGA找寻极大值点

    hust
    下角标那个应该是复制过来的时候弄掉的吧。。我再自己看看吧,多谢了。
  • 回答了问题 2016-5-30 11:10

    FPGA求取极大值

    hust
    module detection(clk,data_in,fnum); input clk; input [15:0]data_in; output fnum; reg [15:0]fnum; reg [15:0] signal [0:511]; reg [9:0]i; reg state,next_state=1; //初始化状态为1,准备往二 ...
  • 回答了问题 2016-5-30 09:53

    利用FPGA找寻极大值点

    hust
    关于复用还是不太明白。可不可以帮忙看一下我的代码,仿真出来fnum一直为0,而且占用的资源很多。 module detection(clk,data_in,fnum); input clk; input [15:0]data_in; output fnum; reg [15:0]fnum; reg [15:0 ...
  • 回答了问题 2016-5-29 10:11

    利用FPGA找寻极大值点

    hust
    以为没发成功,多发了一遍。。囧
  • 回答了问题 2015-4-23 21:05

    PGA封装怎么画啊?具体的参数不知道怎么设置。。

    hust
    没找到额,而且我想用的是那个固定芯片的底座,不知道怎么打孔。。
ta 的专栏
关闭

站长推荐 上一条 /6 下一条

返回顶部