FPGA|CPLD|ASIC论坛
直播中

王银喜

7年用户 2326经验值
私信 关注
[问答]

请问vivado 2018.2这个报错情况是哪里出问题?

之前遇到这样的问题一般都是路径太长,这次不管怎么改都不行,而且我测的路径也没有超过260字节
6.png

回帖(5)

王银喜

2018-11-12 09:27:03
6.png 7.png 已解决,做个记录
举报

王平

2018-11-12 09:27:22
好熟悉的界面啊    你的意思是如上图  reset了一下就可以了吗
举报

王银喜

2018-11-12 09:27:41
不,第二幅图是关键
举报

张燕

2018-11-12 09:27:55
图二global是默认的吧      选择4核工作肯定不影响
看样子你在调试zynq啊
举报

王银喜

2018-11-12 09:28:11
我用2018.2,自己建立工程默认是第二个选项。是在调试zynq,一点FPGA基础都没有,难度好大!
举报

更多回帖

发帖
×
20
完善资料,
赚取积分