赛灵思
直播中

潘煜晨

7年用户 174经验值
私信 关注
[问答]

12.1忽略.ucf文件

第一次尝试12.1。
我复制了一个现有的11.4目录并为12.1创建了一个新的导入/转换项目目录。
11.4在10分钟内完成建造(目标XC3S400A)。
12.1似乎忽略.ucf文件(如果我在其中输入fjkdsfksdhfjk我没有收到任何错误)
在地图阶段4.2上花费超过30分钟,并说它无法找到和IOB放置解决方案。
这是因为它没有为ucf设置的IOB提供正确的电压电平。
我看不到像忽略ucf set这样的选项。
关于下一步的想法?

以上来自于谷歌翻译


以下为原文

Trying 12.1 for the first time. I've copied an existing 11.4 directory and made a new one for 12.1 to import / convert project. 11.4 Build fine in under 10 mins ( target XC3S400A). 12.1 appear to ignore the .ucf file ( if i type fjkdsfksdhfjk in it I don't get any errors)
It takes over 30min on map stage 4.2 and says it can't find and IOB place solution. this is becuase it hasn't got the correct voltage levels for the IOBs set from the ucf. I can't see any option like ignore ucf set.

Ideas on where to look next ?

回帖(10)

陈宏子

2018-10-23 10:43:37
为了通过Project Navigator将UCF文件传递给ngdb​​uild,必须将UCF文件作为源添加到ISE项目中。
如果项目中没有UCF文件,Project Navigator会将-i传递给ngdb​​uild,以确保不使用约束文件,因为您没有向项目中添加一个。
否则,作为ngdbuild默认行为的结果,如果项目目录中存在与顶级源网表具有相同基本名称的UCF,则无论是否在ISE项目中,它都将由ngdbuild自动获取

因此,为了更加明确地控制文件传递给工具,Project Navigator通过-uc传递属于项目一部分的UCF文件,如果项目中没有UCF文件,则传递-i

所以,我认为这里的底线是你应该简单地将UCF添加到项目中,而不是在ngdbuild(Translate)其他命令行选项中使用-uc。
在原帖中查看解决方案

以上来自于谷歌翻译


以下为原文

In order for a UCF file to be passed to ngdbuild by Project Navigator, the UCF file must be added to the ISE project as a source.  If you don't have a UCF file in the project, Project Navigator will pass the -i to ngdbuild to ensure that no constraints file is used, since you did not add one to the project.  Otherwise, as a result of ngdbuild default behavior, if a UCF existed in the project directory with the same base name as the top-level source netlist, it would be automatically picked up by ngdbuild regardless of whether it was in the ISE project or not.  Therefore, in an attempt to have more explicit control over the passing of files to the tools, Project Navigator passes UCF files which are part of the project via the -uc, and if there are no UCF files in the project, it passes -i. 
 
So, the bottom line here I think is that you should simply add the UCF to the project, and not use the -uc in the ngdbuild (Translate) Other Command Line options. 
View solution in original post
举报

李剑

2018-10-23 10:59:29
在12.1中进行了更改,其中.ISE和_xdb不再是将约束传递到NGDBuild的驱动力。
NGDBuild现在_must_为你希望它解析的约束指定了-uc。
您是否在翻译报告中看到了对约束文件的适当参考?
-------------------------------------------这个空间故意留空

以上来自于谷歌翻译


以下为原文

A change was made in 12.1 where the .ISE and _xdb are no longer the driving force for passing constraints into NGDBuild.  NGDBuild now _must_ have a -uc specified for the constraints that you wish it to parse.
 
Do you see an appropriate reference in the Translate report to your constraints file?
-------------------------------------------
this space intentionally left blank
举报

张建

2018-10-23 11:11:55
感谢那。
在gui中,我将-uc top.ucf添加到其他选项中。
如何停止出现所有“-i”开关?
命令行:ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -i -i -p xc3s400a-ft256-4“top.ngc”top.ngd -uc top.ucf
错误:NgdBuild:580  - 冲突的NGDBUILD选项:无法指定UCF文件 
(-uc选项)并同时忽略UCF文件(-i选项)。
命令行:ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -i -i -p xc3s400a-ft256-4“top.ngc”top.ngd -uc top.ucfERROR:NgdBuild:580  - 冲突的NGDBUILD选项:无法指定
UCF文件(-uc选项)并同时忽略UCF文件(-i选项)。
另外,作为新的12.1用户,我应该如何找到它?

以上来自于谷歌翻译


以下为原文

Thanks for that.
 
In the gui when I add -uc top.ucf to the other options. How do I stop all the "-i" switches appearing ?
 
 
 
Command Line: ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -i -i -p xc3s400a-ft256-4 "top.ngc" top.ngd -uc top.ucfERROR:NgdBuild:580 - Conflicting NGDBUILD options:  Cannot specify the UCF file   (-uc option) and ignore the UCF file (-i option) at the same time.  
Command Line: ngdbuild -intstyle ise -dd _ngo -nt timestamp -i -i -i -p xc3s400a-ft256-4 "top.ngc" top.ngd -uc top.ucfERROR:NgdBuild:580 - Conflicting NGDBUILD options:  Cannot specify the UCF file   (-uc option) and ignore the UCF file (-i option) at the same time. 
 
 
Also, how as a new 12.1 user should I have found this out ?
 
举报

李剑

2018-10-23 11:20:28
-i开关告诉NGDBuild忽略约束文件。
这就是我们在11.x中告诉NGDBuild的方式,然后忽略UCF并执行_XDB / .ISE位置中指定的操作。
鉴于您的设计已迁移,我怀疑迁移过程只是带有一些不应该有的设置。
对于这个方面,您可能希望提交具有技术支持的WebCase,以便我们可以查看它,以防这是一个真正的错误,我们需要针对它提交缺陷。
至于如何了解这些更改,我们始终在“开发系统参考指南”中记录我们工具的有效选项。
它可以在网站上找到:http://www.xilinx.com/support/documentation/sw_manuals/xilinx12_1/devref.pdf
我们还将其副本部署为您的ISE安装的一部分。
它的默认位置(在Windows上)是C: Xilinx  12.1  ISE_DS  ISE  doc  usenglish  isehelp  devref.pdf
-------------------------------------------这个空间故意留空

以上来自于谷歌翻译


以下为原文

The -i switch tells NGDBuild to ignore the constraints file.  This was how we told NGDBuild in 11.x and prior to ignore the UCF and do what was specified in the _XDB/.ISE locations.  Given that your design was migrated, I'm suspecting that the migration process simply carried some settings forward that it should not have.
 
For this aspect, you might want to file a WebCase with technical support so that we can look into it in case this is a real bug and we need to file a defect against it.
 
As to how to learn about these changes, we always document the valid options for our tools in the Development System Reference Guide. It can be found on the web at http://www.xilinx.com/support/documentation/sw_manuals/xilinx12_1/devref.pdf
 
We also deploy a copy of it as part of your ISE installation.  The default location for it (on Windows) is C:Xilinx12.1ISE_DSISEdocusenglishisehelpdevref.pdf
-------------------------------------------
this space intentionally left blank
举报

更多回帖

发帖
×
20
完善资料,
赚取积分