完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
本帖最后由 U201015703 于 2016-3-24 22:11 编辑
第一次发经验贴,有点小紧张,勿喷。。。被小梅哥和芯航线群友乐于助人的精神所感染,决定分享一下我的经验点滴,希望能帮助到大家。 芯航线FPGA技术支持群 472607506 这几天写了一个简单的FPGA读内部单口RAM的代码,但仿真时读取的数据一直为0,用的是Quartus II12.0和ModelSim-Altera 10.0d,当改为ROM时却正常。初始化文件.mif是同一个文件,不知道是什么原因。代码如下: module ex_dds( input wire clk, input wire rst_n, output wire [7:0] o_wave ); reg [7:0] addr; always@(posedge clk or negedge rst_n) if(!rst_n) addr <= 8'd0; else addr <= addr + 1'b1; sp_ram_256_8 sp_ram_256_8_inst ( .address (addr), .clock (clk), .data (8'd0), .wren (1'b0), .q (o_wave) ); //sp_rom_256_8 sp_rom_256_8_inst ( // .address (addr), // .clock (clk), // .q (o_wave) //); endmodule 后来在芯航线群友的帮助下解决了问题.将初始化文件.mif的路径修改了一下就可以了。将路径../ipcore_dir/sp_ram_256_8.mif修改为sp_ram_256_8.mif就可以了。 注意版本是Quartus II12.0和ModelSim-Altera 10.0d,其他版本我就不知道了,好像是软件的一个BUG吧。
|
|
相关推荐
|
|
本帖最后由 小梅哥 于 2016-3-24 22:11 编辑
谢谢夸奖。 本帖已经收录在芯航线FPGA教程总帖中,更多相关经验和教程,欢迎前往总帖查看,地址: 【芯航线FPGA学习平台教程资料汇总帖】每日更新(16年3月18日已更新) https://bbs.elecfans.com/jishu_514918_1_1.html (出处: 中国电子技术论坛) |
|
|
|
|
|
本帖已经收录在芯航线FPGA教程总帖中,更多相关经验和教程,欢迎前往总帖查看,地址:
【芯航线FPGA学习平台教程资料汇总帖】每日更新(16年3月18日已更新) https://bbs.elecfans.com/jishu_514918_1_1.html (出处: 中国电子技术论坛) |
|
|
|
|
|
小梅哥 发表于 2016-3-24 22:07 谢谢小梅哥赏脸 |
|
|
|
|
|
1287 浏览 1 评论
助力AIoT应用:在米尔FPGA开发板上实现Tiny YOLO V4
1036 浏览 0 评论
2359 浏览 1 评论
2067 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
2325 浏览 0 评论
1864 浏览 49 评论
6006 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-21 08:45 , Processed in 0.636820 second(s), Total 72, Slave 54 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号