完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
扫一扫,分享给好友
|
Library ieee;
use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned; entity water_lamp is generic(n:integer:=48000000); port( clk:in std_logic; swich:in std_logic_vector(2 downto 0); Led:out std_logic_vector(2 downto 0) ); end water_lamp; architecture liushuideng of water_lamp is signal cnt:integer range 0 to n; signal clk_new:std_logic; signal ***:integer range 0 to 2; begin process(clk) IS begin IF clk'event and clk='1' then if cnt else cnt<=0; clk_new<=not clk_new; end if; end if; end process; process(clk_new) IS begin IF clk'event and clk='1' then IF ***<5 then ***<=***+1; else ***<=0; end if; end if; end process ; process(***) IS begin IF swich="000" then Led<="000"; elsif swich="111" then led<="111"; elsif swich="001" then case *** is when 0=>led<="001"; when 1=>led<="010"; when 2=>led<="100"; when 3=>led<="111"; when 4=>led<="111"; when others=>led<="111"; end case; else swich="010" then case *** is when 0=>led<="100"; when 1=>led<="010"; when 2=>led<="001"; when 3=>led<="111"; when 4=>led<="111"; when others=>led<="111"; end case; end if; end process ; end architecture liushuideng; 编译时出现Error (10500): VHDL syntax error at water_lamp.vhd(50) near text "="; expecting "(", or "'", or "." Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 1 error, 0 warnings Error: Peak virtual memory: 427 megabytes Error: Processing ended: Sun Apr 12 11:46:24 2015 Error: Elapsed time: 00:00:01 Error: Total CPU time (on all processors): 00:00:01 Error (293001): Quartus II Full Compilation was unsuccessful. 3 errors, 0 warnings 哪位大神能帮忙解答下感激不禁 |
|
相关推荐 |
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
375 浏览 0 评论
NVMe over Fabrics 国产 IP:高性能网络存储解决方案
363 浏览 0 评论
130 浏览 0 评论
NVMe高速传输之摆脱XDMA设计54:如何测试队列管理功能2
397 浏览 0 评论
NVMe高速传输之摆脱XDMA设计53:如何测试队列管理功能
730 浏览 0 评论
4567 浏览 64 评论
/9
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2025-12-14 04:44 , Processed in 0.516487 second(s), Total 68, Slave 51 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191

淘帖
2672