完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
本帖最后由 krian 于 2014-11-22 21:23 编辑 源文件是这个:(没有问题) library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity basic_tff is Port ( t : in STD_LOGIC; cp : in STD_LOGIC; q : out STD_LOGIC; qb : out STD_LOGIC); end basic_tff; architecture Behavioral of basic_tff is signal q_temp: std_logic; begin process(cp) begin if(cp'event and cp='1')then if(t='1')then q_temp <= not q_temp; else q_temp <= q_temp; end if; end if; end process; q <= q_temp; qb <= not q_temp; end Behavioral; 激励文件有错误,但是不知道怎么办? LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY test_basic_tff IS END test_basic_tff; ARCHITECTURE behavior OF test_basic_tff IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT basic_tff PORT( t : IN std_logic; cp : IN std_logic; q : OUT std_logic; qb : OUT std_logic ); END COMPONENT; --Inputs signal t : std_logic := '0'; signal cp : std_logic := '0'; --Outputs signal q : std_logic:='0'; signal qb : std_logic:='1'; constant cp_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: basic_tff PORT MAP ( t => t, cp => cp, q => q, qb => qb ); -- Clock process definitions cp_process :process begin cp <= '0'; wait for cp_period/2; cp <= '1'; wait for cp_period/2; end process; END; 到底是什么原因呀!
|
|
相关推荐
1个回答
|
|
还是自己想办法吧!在原文件上临时文件赋初值,稍微修改一下test文件就OK了。。。
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-5-17 07:43 , Processed in 0.599200 second(s), Total 69, Slave 52 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号