完善资料让更多小伙伴认识你,还能领取20积分哦, 立即完善>
Library IEEE;
USE IEEE.STD_logic_1164.all; USE IEEE.STD_logic_unsigned.all; ENtiTY code IS PORT(en:in std_logic; data :in std_logic; clk:in std_logic; clr:in std_logic; code_out :out std_logic; clk2x : out std_logic ); END code; ARCHITECTURE code OF code IS SIGNAL clk1,clk2,mo:std_logic; SIGNAL count_m100 :std_logic_vector(6 downto 0); SIGNAL cnt :std_logic_vector(2 downto 0); SIGNAL shift_r :std_logic_vector(2 downto 0); begin ----------------分频器-------------------------- process(clk) begin if(clk'event and clk='1')then cnt<=cnt+1; end if; --if(clr='1') then --clk2<='0'; --elsif(en ='1')then --clk2<=not(clk2); --end if; --end if; end process; clk2<=cnt(0); clk2x<=clk2; ------------------------------------- process(clk,en,clr) begin if(clr='1')then count_m100<="0000000"; elsif(clk'event and clk='1')then if(count_m100="1100011")then --0-99 100次 count_m100<="0000000"; elsif(en='1')then count_m100<=count_m100+'1'; end if; end if; end process; --------------------------------- process(clk2,en,clr) begin if(clr='1')then shift_r<=(others=>'0'); elsif(clk2'event and clk2='1')then if(en='1')then shift_r<=shift_r(1 downto 0)&data; end if; end if; end process; --------------------------------------------- process(clk,clk2,count_m100,en,clr,mo,shift_r) begin if(clr='1')then mo<='1'; elsif(count_m100>="0000001" and count_m100<="0000010")then --1-2 mo<='0'; elsif(count_m100>="0000011" and count_m100<="1100010")then --3-98 mo<=shift_r(2) XOR clk2; else mo<='0'; end if; end process; END code; 问题:仿真时data数据如果是0时异或不起作用,一直保持前一个状态,请各位大侠帮帮忙,再次感谢!!!! |
|
相关推荐
5个回答
|
|
人呢?来位大侠帮帮忙,ths
|
|
|
|
shift_r(1 downto 0)&data你这个执行的结果是什么,你看没,还有你可看一下综合出来的原理图,
|
|
|
|
我现在主要这样试的:1、我先是用一组010101---data数据,然后观察结果跟理论分析不一样,从结果看在data是1时按照异或分析是对的,;但是如果data是0,这时候出来的结果就不对,像是在data是0时异或运算没起作用;2、于是我就让data数据全为0和全为1分别仿真,结果发现全0时输出结果全0,当data全1时仿真结果跟分析是一样的,异或运算起作用。
|
|
|
|
大侠们快点来,很急的!!
|
|
|
|
看不懂啊
|
|
|
|
你正在撰写答案
如果你是对答案或其他答案精选点评或询问,请使用“评论”功能。
1367 浏览 1 评论
助力AIoT应用:在米尔FPGA开发板上实现Tiny YOLO V4
1046 浏览 0 评论
2442 浏览 1 评论
2146 浏览 0 评论
矩阵4x4个按键,如何把识别结果按编号01-16(十进制)显示在两个七段数码管上?
2408 浏览 0 评论
1900 浏览 50 评论
6018 浏览 113 评论
小黑屋| 手机版| Archiver| 电子发烧友 ( 湘ICP备2023018690号 )
GMT+8, 2024-12-24 04:39 , Processed in 0.632536 second(s), Total 78, Slave 61 queries .
Powered by 电子发烧友网
© 2015 bbs.elecfans.com
关注我们的微信
下载发烧友APP
电子发烧友观察
版权所有 © 湖南华秋数字科技有限公司
电子发烧友 (电路图) 湘公网安备 43011202000918 号 电信与信息服务业务经营许可证:合字B2-20210191 工商网监 湘ICP备2023018690号